On 29 Oct 2016, at 19:41, Peter Bozek <peter.bo...@gmail.com> wrote:

> Now $object1 and $object2 create a circular reference (and debugger will
> show it cannot display such object), they may not be cleared from memory
> when method ends (will not be cleared ever.)

Hi Peter

Thats an interesting one. Will need to explore it !

Thanks for your examples.

Peter

**********************************************************************
4D Internet Users Group (4D iNUG)
FAQ:  http://lists.4d.com/faqnug.html
Archive:  http://lists.4d.com/archives.html
Options: http://lists.4d.com/mailman/options/4d_tech
Unsub:  mailto:4d_tech-unsubscr...@lists.4d.com
**********************************************************************

Reply via email to