Package: ghdl
Version: 0.35+git20181129+dfsg-3
Severity: normal

Dear Maintainer,

With GHDL from Debian, I can't use VHDL-2008:

        $ ghdl -a --std=08 bar.vhd && echo success
        /usr/bin/ghdl-llvm:warning: library ieee does not exists for v08
        bar.vhd:1:9:error: cannot find resource library "ieee"
        bar.vhd:2:10:error: unit "std_logic_1164" not found in library "ieee"
        /usr/bin/ghdl-llvm:error: compilation error

With a stock build of GHDL from git sources, I can:

        $ /path/to/ghdl/ghdl_mcode -a --std=08 bar.vhd && echo success
        success

In this case, bar.vhd contains the following:

        library ieee;
        use ieee.std_logic_1164.all;

        entity bar is
        end bar;

        architecture behavioural of bar is
        begin
        end behavioural;

Although I'm comparing a build from upstream's master against Debian's
ghdl-0.35 release, the necessary files have been in the git repository
for a long time and I don't think it's an upstream problem.

Thanks!

-- System Information:
Debian Release: 10.0
  APT prefers testing
  APT policy: (990, 'testing')
Architecture: amd64 (x86_64)
Foreign Architectures: i386

Kernel: Linux 4.19.0-4-amd64 (SMP w/4 CPU cores)
Kernel taint flags: TAINT_WARN
Locale: LANG=en_CA.UTF-8, LC_CTYPE=en_CA.UTF-8 (charmap=UTF-8), 
LANGUAGE=en_CA.UTF-8 (charmap=UTF-8)
Shell: /bin/sh linked to /bin/bash
Init: systemd (via /run/systemd/system)
LSM: AppArmor: enabled

Versions of packages ghdl depends on:
ii  ghdl-llvm  0.35+git20181129+dfsg-3

ghdl recommends no packages.

Versions of packages ghdl suggests:
ii  gtkwave  3.3.98-1

-- no debconf information

Reply via email to