Hi, I installed doxygen and graphviz to be able to document my vhdl project. As long as I stick with plain text documentation, everythings seems to work as expected. But when I start to add a @dot command, I can't see the graph on my HTML or RTF documentation.
what can be wrong, or can somebody provide an example? I've added an example for completeness. library IEEE; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.DesignStdPackage.all; use work.SerDesPackage.all; --! --! @dot --! graph { --! Serial_In -- Ser2Par; --! Ser2Par -- DataExtract; --! } --! @enddot --! --! The CDR unit basically samples the serial stream at 0, 90, 180 and 270deg tries to find the data edges and --! synchronizes the serial stream with the serial clock. The serial stream is then fed in a SIPO register. --! the parallel data is filtered for false edges and false bit transitions. The following stage looks for a synchronisation character (K28.5) --! to find the byte edge of the data stream. An 8b/10b (IBM) decoder feeds the data to the output. --! the 8bit datapath is synchronous with the Byte Clock. entity CDR_Top is port ( pi_aRst : in std_logic; --! async active high reset ... ------------------------------------------------------------------------------ Everyone hates slow websites. So do we. Make your web apps faster with AppDynamics Download AppDynamics Lite for free today: http://p.sf.net/sfu/appdyn_d2d_mar _______________________________________________ Doxygen-users mailing list Doxygen-users@lists.sourceforge.net https://lists.sourceforge.net/lists/listinfo/doxygen-users