Ok I have integrated the wj200_vfd driver into linuxcnc
src/hal/user_comps/wj200_vfd

The repository is available at https://github.com/OKComputers/linuxcnc

I have not yet completed the driver, I need to add command line option
parsing to set the modbus communication parameters. However I have run the
driver on my own machine for about 10 hours of operation now, and it is
performing nicely.


During my work, I discovered a bug in the comp.py program. The problem is
"option userinit yes" generates code that will not compile.

 Here is a link to the commit that has the fix....

Is there anyone out there that can integrate that change for me? I can send
a patch or do whatever is needed to help out...

https://github.com/OKComputers/linuxcnc/commit/782e98456d278be90b7198ce8b552e824748f5b0


Thanks,
    Curtis


On Wed, May 8, 2013 at 6:54 PM, Sebastian Kuzminsky <s...@highlab.com> wrote:

> On May 8, 2013, at 16:46 , Curtis Dutton wrote:
>
> > Are there any pointers on how to integrate my .comp file into the
> Makefile
> > system? Even examples elsewhere in the source tree would be useful.
>
> You just put your .comp in src/hal/components.  Add a test if you're
> feeling frisky.
>
> Check out commit a307a69fc19df523668f191f21061f9d5457fef9 for an example.
>
> Hmm, you link against libmodbus, right?  That might make things more
> complicated…
>
> --
> Sebastian Kuzminsky
>
>
>
> ------------------------------------------------------------------------------
> Learn Graph Databases - Download FREE O'Reilly Book
> "Graph Databases" is the definitive new guide to graph databases and
> their applications. This 200-page book is written by three acclaimed
> leaders in the field. The early access version is available now.
> Download your free book today! http://p.sf.net/sfu/neotech_d2d_may
> _______________________________________________
> Emc-developers mailing list
> Emc-developers@lists.sourceforge.net
> https://lists.sourceforge.net/lists/listinfo/emc-developers
>
------------------------------------------------------------------------------
AlienVault Unified Security Management (USM) platform delivers complete
security visibility with the essential security capabilities. Easily and
efficiently configure, manage, and operate all of your security controls
from a single console and one unified framework. Download a free trial.
http://p.sf.net/sfu/alienvault_d2d
_______________________________________________
Emc-developers mailing list
Emc-developers@lists.sourceforge.net
https://lists.sourceforge.net/lists/listinfo/emc-developers

Reply via email to