I have noticed that GHDL complains of an "internal compiler" error
whenever it fails to compile, for whatever reason, including syntax
errors.  For example, using this sample code (with a syntax error, the
missing "is" in the entity declaration):

ibrary ieee;
use ieee.std_logic_1164.all;

entity xor_gate
  port
  (
    a : in  std_logic;
    b : in  std_logic;
    o : out std_logic
  );
end entity xor_gate;

architecture rtl of xor_gate is
begin
  o <= a xor b;
end architecture rtl;

I get the following output from GHDL:

xor_gate.vhd:5:3: missing "is" after identifier
xor_gate.vhd:5:3: (found: port)
xor_gate.vhd:0: internal compiler error: Segmentation fault
Please submit a full bug report,
with preprocessed source if appropriate.
See <http://bugzilla.redhat.com/bugzilla> for instructions.
ghdl: exec error

******************** GHDL Bug occured ****************************
Please report this bug on http://gna.org/projects/ghdl
GHDL release: GHDL 0.28dev (20080721) [Sokcho edition]
Compiled with GNAT Version: 4.3.2 20081007 (Red Hat 4.3.2-5)
In directory: /home/pladow/
Command line:
ghdl -a xor_gate.vhd
Exception STORAGE_ERROR raised
Exception information:
Exception name: STORAGE_ERROR
Message: stack overflow (or erroneous memory access)
Call stack traceback locations:
0x80fe74b
******************************************************************

There have been no side effects, including no core file (I did a
'ulimit -c unlimited' before running).  It hasn't been an issue, but
is a curiosity.

Thanks,
Pete
-- 
--
"To love for the sake of being loved is human;  to love for the sake
of loving is Angelic."  -- Alphonse de Lamartine

_______________________________________________
Ghdl-discuss mailing list
Ghdl-discuss@gna.org
https://mail.gna.org/listinfo/ghdl-discuss

Reply via email to