On Thu, 26 Nov 2015 20:19:08 +0100 Tristan Gingold <tging...@free.fr> wrote:
> > Is it somehow possible to tell ghld, "yes, i know there is something > > not ok, but please just stop this one assert from file X at line Y" ? > > If possible without disabling all others. > > Not exactly but what about: > > http://ghdl.readthedocs.org/en/latest/Simulation_and_runtime.html#cmdoption--ieee-asserts Yes, but that would disable more than one warning. E.g. I the following warning would have been gone as well: ../../src/ieee2008/numeric_std-body.vhdl:1166:7:@138946765ps:(assertion warning): NUMERIC_STD.">": metavalue detected, returning FALSE Which is due to a signal not being properly intialized (std_logic_vector with U's) BTW: that bug is kind of puzzling me, i cannot see the error in my code. I have the following constant and 3 signals: type t2DArray is array(natural range <>, natural range <>) of std_logic; constant cPulsePos : real := real(cPulseStart); signal sPulsePosFixed : sfixed(gTDCIntegerBits-1 downto -gTDCFractionBits); signal sPulsePosSLV : std_logic_vector(gTDCIntegerBits+gTDCFractionBits -1 downto 0); signal tdc_signals_buf : t2DArray(0 to gNum_nodes-1,gTDCIntegerBits+gTDCFractionBits -1 downto 0); And the following signal assignment: sPulsePosFixed <= to_sfixed(cPulsePos, sPulsePosFixed); sPulsePosSLV <= to_slv(sPulsePosFixed); TDCSIGNAL: for i in gTDCIntegerBits+gTDCFractionBits-1 downto 0 generate begin tdc_signals_buf(gNum_nodes-1,i) <= sPulsePosSLV(i); end generate; gtkwave tells me that sPulsePoseSLV is assigned correctly initialized, but for some reason tdc_signals_buf(gNumNodes-1, <>) is uninitialized. Attila Kinali -- It is upon moral qualities that a society is ultimately founded. All the prosperity and technological sophistication in the world is of no use without that foundation. -- Miss Matheson, The Diamond Age, Neil Stephenson _______________________________________________ Ghdl-discuss mailing list Ghdl-discuss@gna.org https://mail.gna.org/listinfo/ghdl-discuss