On 21/04/17 11:02, Alban Bourge wrote:
Hi everyone,

I have some testbench I want to elaborate with --std=08. I use i/o
libraries that are way more convenient with VHDL 2008. The problem is
that I have to drive a component that is compiled with --std=02 due to
the usage of Xilinx unisim and unimacro libraries.

I tried to analyze vhd file in two steps : vhd files with --std=02 then
my testbench with --std=08. This is ok but when I want to elaborate my
testbench, the included component is "not bound" says ghdl.

Here are my commands :
$ ghdl -a --ieee=synopsys --work=work --workdir=work --std=02 -fexplicit
-g -Punisim -Punimacro ../a.vhd ../b.vhd ../c.vhd
$ ghdl -a --ieee=synopsys --work=work --workdir=work --std=08 -fexplicit
-g bench.vhd
$ ghdl -e --ieee=synopsys --work=work --workdir=work --std=08 -fexplicit
-g -Punisim -Punimacro parwindow_bench simu

Which gives:
bench.vhd:114:8:warning: 'comp_i' is not bound
bench.vhd:15:14:warning: (in default configuration of bench(simu))

Do you see any solution to my problem ?

GHDL doesn't allow mixed standard simulation.

Why can't you analyze unisim and unimacro with --std=08 ? Do you get any error ?

(Note: you need to subscribe to this list in order to post).

Regards,
Tristan.


_______________________________________________
Ghdl-discuss mailing list
Ghdl-discuss@gna.org
https://mail.gna.org/listinfo/ghdl-discuss

Reply via email to