> On May 13, 2017, at 11:25 PM, Тихомиров Валентин <intel...@yandex.ru> wrote:
> 
> which works. I tried to get rid of the component, making direct instantiation 
> of the entity
>  
>         adder_0: entity adder port map (i0 => i0, i1 => i1, ci => ci,
>                                  s => s, co => co);

        adder_0: entity work.adder port map (i0 => i0, i1 => i1, ci => ci,
                                 s => s, co => co);

adder is not directly visible.


_______________________________________________
Ghdl-discuss mailing list
Ghdl-discuss@gna.org
https://mail.gna.org/listinfo/ghdl-discuss

Reply via email to