Currently, class name resolution supports all types except an array.
https://3v4l.org/OXFMW

In fact, it is syntactically invalid since the parser fails to recognize
`array::class` as an array expression:

Parse error: syntax error, unexpected 'array' (T_ARRAY), expecting
> identifier (T_STRING)
> https://3v4l.org/5IOoI


Is possible to change the grammar to support array also?

- Marcos

Reply via email to