[Emc-developers] Hardinge CHNC retrofit

2018-03-14 Thread Jon Elson

Hello, all,

A friend locally has arranged to buy a Hardinge CHNC-I for a 
manufacturing project.

I know a few people here have retrofitted these machines.
This one has a General Numerics control, but it seems to 
have Parker servo amps and MTS brushless motors.  I'm 
guessing these may not be original.  It still has the Fanuc 
spindle drive.


He is in a garage shop environment, so likely we will 
replace the spindle drive with a VFD capable of running off 
single phase.  The Parker amps are analog velocity servos, 
and take single phase power.


Can anybody be good enough to send me their configs file 
set?  I know how to get the axes and spindle working, but 
have never dealt with a tool turret.


We think the machine is set up for the 16C collet, and has 
the air collet closer.


Any other useful info on the conversion would also be 
greatly appreciated.


(My email is el...@pico-systems.com  )

Thanks,

Jon

--
Check out the vibrant tech community on one of the world's most
engaging tech sites, Slashdot.org! http://sdm.link/slashdot
___
Emc-developers mailing list
Emc-developers@lists.sourceforge.net
https://lists.sourceforge.net/lists/listinfo/emc-developers


Re: [Emc-developers] writing new firmware to read yaskawa absolute encoder

2018-03-14 Thread Peter C. Wallace

On Wed, 14 Mar 2018, Rene Hopf wrote:


Date: Wed, 14 Mar 2018 22:53:59 +0100
From: Rene Hopf 
Reply-To: EMC developers 
To: EMC developers 
Subject: Re: [Emc-developers] writing new firmware to read yaskawa absolute
encoder



On 14. Mar 2018, at 17:54, Peter C. Wallace  wrote:

Typically there would be no issue sending and receiving 7 bit characters 
with the PacketUART (just mask bit 7 on RX and set it to the line idle 
(high) state on TX )


thats not how uart works, if there is a stop bit, and usually there is.


Umm, works for me...

That is for TX you can add the stop bit in the data (extra stop bits on 
TX only slow the data rate slightly) Note that stop bits are in the idle line 
state.


for RX 7 bit data in a 8 bit UART will work fine as long as data has 2 stop 
bits or a parity bit (or is not sent with end-end characters)




--
Check out the vibrant tech community on one of the world's most
engaging tech sites, Slashdot.org! http://sdm.link/slashdot
___
Emc-developers mailing list
Emc-developers@lists.sourceforge.net
https://lists.sourceforge.net/lists/listinfo/emc-developers



Peter Wallace
Mesa Electronics

(\__/)
(='.'=) This is Bunny. Copy and paste bunny into your
(")_(") signature to help him gain world domination.


--
Check out the vibrant tech community on one of the world's most
engaging tech sites, Slashdot.org! http://sdm.link/slashdot
___
Emc-developers mailing list
Emc-developers@lists.sourceforge.net
https://lists.sourceforge.net/lists/listinfo/emc-developers


Re: [Emc-developers] writing new firmware to read yaskawa absolute encoder

2018-03-14 Thread Rene Hopf

> On 14. Mar 2018, at 17:54, Peter C. Wallace  wrote:
> 
> Typically there would be no issue sending and receiving 7 bit characters with 
> the PacketUART (just mask bit 7 on RX and set it to the line idle (high) 
> state on TX )

thats not how uart works, if there is a stop bit, and usually there is.
--
Check out the vibrant tech community on one of the world's most
engaging tech sites, Slashdot.org! http://sdm.link/slashdot
___
Emc-developers mailing list
Emc-developers@lists.sourceforge.net
https://lists.sourceforge.net/lists/listinfo/emc-developers


Re: [Emc-developers] writing new firmware to read yaskawa absolute encoder

2018-03-14 Thread Peter C. Wallace

On Wed, 14 Mar 2018, andy pugh wrote:


Date: Wed, 14 Mar 2018 16:43:39 +
From: andy pugh 
Reply-To: EMC developers 
To: EMC developers 
Subject: Re: [Emc-developers] writing new firmware to read yaskawa absolute
encoder

On 14 March 2018 at 04:38, Th??ng L??  wrote:
unfortunately, channel A use serial interface with ASCII 7bits, i guess i
cant use mesa_uart without modify uart.c. Does pktuart allow me to change
frames? I was looking at hm2_pktuart_setup function, there is  an option
"Bit 20..16 Frames received", is this what i need?



As far as I can tell from the regmap file the Mesa UART is fixed at 8 bits.
I can't even find pktUART in the regmap to find out if that is different.

Looking at the VHDL files both UART and pktUART have a 4-bit field for
bit-count, so that rather suggests that there should be a way to set
the number of bits to a value other than 7.


--
atp
"A motorcycle is a bicycle with a pandemonium attachment and is
designed for the especial use of mechanical geniuses, daredevils and
lunatics."
?? George Fitch, Atlanta Constitution Newspaper, 1916


Typically there would be no issue sending and receiving 7 bit characters with 
the PacketUART (just mask bit 7 on RX and set it to the line idle (high) state 
on TX )



Peter Wallace
Mesa Electronics

(\__/)
(='.'=) This is Bunny. Copy and paste bunny into your
(")_(") signature to help him gain world domination.
--
Check out the vibrant tech community on one of the world's most
engaging tech sites, Slashdot.org! http://sdm.link/slashdot___
Emc-developers mailing list
Emc-developers@lists.sourceforge.net
https://lists.sourceforge.net/lists/listinfo/emc-developers


Re: [Emc-developers] writing new firmware to read yaskawa absolute encoder

2018-03-14 Thread andy pugh
On 14 March 2018 at 16:43, andy pugh  wrote:

> Looking at the VHDL files both UART and pktUART have a 4-bit field for
> bit-count, so that rather suggests that there should be a way to set
> the number of bits to a value other than 7.

Maybe not: 
https://github.com/LinuxCNC/hostmot2-firmware/blob/master/src/uartr8.vhd#L227

-- 
atp
"A motorcycle is a bicycle with a pandemonium attachment and is
designed for the especial use of mechanical geniuses, daredevils and
lunatics."
— George Fitch, Atlanta Constitution Newspaper, 1916

--
Check out the vibrant tech community on one of the world's most
engaging tech sites, Slashdot.org! http://sdm.link/slashdot
___
Emc-developers mailing list
Emc-developers@lists.sourceforge.net
https://lists.sourceforge.net/lists/listinfo/emc-developers


Re: [Emc-developers] writing new firmware to read yaskawa absolute encoder

2018-03-14 Thread andy pugh
On 14 March 2018 at 04:38, Thắng Lê  wrote:
> unfortunately, channel A use serial interface with ASCII 7bits, i guess i
> cant use mesa_uart without modify uart.c. Does pktuart allow me to change
> frames? I was looking at hm2_pktuart_setup function, there is  an option
> "Bit 20..16 Frames received", is this what i need?

As far as I can tell from the regmap file the Mesa UART is fixed at 8 bits.
I can't even find pktUART in the regmap to find out if that is different.

Looking at the VHDL files both UART and pktUART have a 4-bit field for
bit-count, so that rather suggests that there should be a way to set
the number of bits to a value other than 7.


-- 
atp
"A motorcycle is a bicycle with a pandemonium attachment and is
designed for the especial use of mechanical geniuses, daredevils and
lunatics."
— George Fitch, Atlanta Constitution Newspaper, 1916

--
Check out the vibrant tech community on one of the world's most
engaging tech sites, Slashdot.org! http://sdm.link/slashdot
___
Emc-developers mailing list
Emc-developers@lists.sourceforge.net
https://lists.sourceforge.net/lists/listinfo/emc-developers