[gentoo-commits] repo/proj/guru:dev commit in: sci-electronics/kactus2/, sci-electronics/kactus2/files/

2023-12-08 Thread Rui Huang
commit: 04e47297fdabedccd4a4d844f7d4d2d366eda99e
Author: Huang Rui  gmail  com>
AuthorDate: Sat Dec  9 05:01:28 2023 +
Commit: Rui Huang  gmail  com>
CommitDate: Sat Dec  9 05:01:28 2023 +
URL:https://gitweb.gentoo.org/repo/proj/guru.git/commit/?id=04e47297

sci-electronics/kactus2: add 3.13.0

Signed-off-by: Huang Rui  gmail.com>

 sci-electronics/kactus2/Manifest   |  1 +
 .../files/kactus2-3.13.0-fix-missing-qobject.patch | 45 +++
 sci-electronics/kactus2/kactus2-3.13.0.ebuild  | 92 ++
 3 files changed, 138 insertions(+)

diff --git a/sci-electronics/kactus2/Manifest b/sci-electronics/kactus2/Manifest
index 6f3997e576..e63830fffb 100644
--- a/sci-electronics/kactus2/Manifest
+++ b/sci-electronics/kactus2/Manifest
@@ -1 +1,2 @@
 DIST kactus2-3.12.0.tar.gz 28154387 BLAKE2B 
c8e0a982343d3a34f9186bca1778b68b4dfdcd977488751f90d9d5372df96c4f7349c90e15203f66778ee1be399e9e2ddb5342dffe1025754e44604c77c16684
 SHA512 
d7cef803314262c9fb0b77db88b5d7935203e1484965f475e954bceb1bc56a31ee9867fe1d73959d62a8454238480226df8fbd2d487442bce44fe7476de6d5bb
+DIST kactus2-3.13.0.tar.gz 28682844 BLAKE2B 
2dc6d6b1ed18d95cce38179c0538c4697c8b2f0de0984fedf0a0b757a7c6c9268e91b9cb09a99c2cdd1913344e928b8d3d665da292d7c47ddf983f92d28142f8
 SHA512 
750a318fa543f4feaf8176bc9b9bcf244ac3f97d130b6dbe5483e68e7ac30b146c5d9362cdebb4129b62a1fec89a4f3bcfb97822e45930ad05a699ab1ad2f8f5

diff --git 
a/sci-electronics/kactus2/files/kactus2-3.13.0-fix-missing-qobject.patch 
b/sci-electronics/kactus2/files/kactus2-3.13.0-fix-missing-qobject.patch
new file mode 100644
index 00..7b95029ad1
--- /dev/null
+++ b/sci-electronics/kactus2/files/kactus2-3.13.0-fix-missing-qobject.patch
@@ -0,0 +1,45 @@
+From d69c10931e17655f6d159e58a910a91c7fcd0a63 Mon Sep 17 00:00:00 2001
+From: Huang Rui 
+Date: Sat, 9 Dec 2023 12:34:01 +0800
+Subject: [PATCH] [CORRECTIVE] Fix incomplete QObject type in
+ FieldReferenceValidator
+
+- Added missing #include  in FieldReferenceValidator.h
+- Resolves compilation errors due to incomplete type 'QObject' used
+  in nested name specifier in various validator components
+
+Signed-off-by: Huang Rui 
+---
+ IPXACTmodels/Component/validators/FieldReferenceValidator.h | 2 ++
+ IPXACTmodels/Component/validators/MemoryArrayValidator.h| 2 ++
+ 2 files changed, 4 insertions(+)
+
+diff --git a/IPXACTmodels/Component/validators/FieldReferenceValidator.h 
b/IPXACTmodels/Component/validators/FieldReferenceValidator.h
+index 0beea5845..4cfcbcb8b 100644
+--- a/IPXACTmodels/Component/validators/FieldReferenceValidator.h
 b/IPXACTmodels/Component/validators/FieldReferenceValidator.h
+@@ -16,6 +16,8 @@
+ 
+ #include 
+ 
++#include 
++
+ namespace FieldReferenceValidator
+ {
+ /*!
+diff --git a/IPXACTmodels/Component/validators/MemoryArrayValidator.h 
b/IPXACTmodels/Component/validators/MemoryArrayValidator.h
+index b0f3e492f..c8ddc249e 100644
+--- a/IPXACTmodels/Component/validators/MemoryArrayValidator.h
 b/IPXACTmodels/Component/validators/MemoryArrayValidator.h
+@@ -16,6 +16,8 @@
+ 
+ #include 
+ 
++#include 
++
+ class MemoryArray;
+ 
+ class IPXACTMODELS_EXPORT MemoryArrayValidator
+-- 
+2.43.0
+

diff --git a/sci-electronics/kactus2/kactus2-3.13.0.ebuild 
b/sci-electronics/kactus2/kactus2-3.13.0.ebuild
new file mode 100644
index 00..e7cb96ea7e
--- /dev/null
+++ b/sci-electronics/kactus2/kactus2-3.13.0.ebuild
@@ -0,0 +1,92 @@
+# Copyright 1999-2023 Gentoo Authors
+# Distributed under the terms of the GNU General Public License v2
+
+EAPI="8"
+
+PYTHON_COMPAT=( python3_{10..12} )
+inherit python-r1 qmake-utils xdg
+
+DESCRIPTION="A open source IP-XACT-based tool"
+HOMEPAGE="
+   https://research.tuni.fi/system-on-chip/tools/
+   https://github.com/kactus2/kactus2dev
+"
+
+if [[ "${PV}" == "" ]] ; then
+   inherit git-r3
+   EGIT_REPO_URI="https://github.com/${PN}/${PN}dev.git;
+else
+   SRC_URI="https://github.com/${PN}/${PN}dev/archive/v${PV}.tar.gz -> 
${P}.tar.gz"
+   KEYWORDS="~amd64"
+   S="${WORKDIR}/${PN}dev-${PV}"
+fi
+
+LICENSE="GPL-2"
+SLOT="0"
+REQUIRED_USE="${PYTHON_REQUIRED_USE}"
+
+RDEPEND="
+   ${PYTHON_DEPS}
+   dev-qt/qtbase:6=[cups,gui,network,opengl,widgets,xml]
+   dev-qt/qtsvg:6
+"
+
+DEPEND="
+   ${RDEPEND}
+"
+
+BDEPEND="
+   dev-lang/swig
+   dev-qt/qttools:6[linguist,qdoc]
+"
+
+PATCHES=(
+   "${FILESDIR}"/${PN}-3.13.0-fix-missing-qobject.patch
+)
+
+src_prepare() {
+   default
+   # Fix QA pre-stripped warnings, bug 781674
+   find . -type f -name \*.pro -exec sed -e '$a\\nCONFIG+=nostrip' -i '{}' 
+ || die
+   # Fix bug 854081
+   python_setup
+   sed -e "s|PYTHON_CONFIG=.*|PYTHON_CONFIG=${EPYTHON}-config|" -i 
.qmake.conf || die
+}
+
+src_configure() {
+   default
+   # Fix bug 854075
+   # Fix bug 854078
+   eqmake6 Kactus2_Solution.pro
+}
+
+src_compile() {
+   default
+   python_compile() {
+

[gentoo-commits] repo/proj/guru:dev commit in: sci-electronics/kactus2/, sci-electronics/kactus2/files/

2023-10-20 Thread Rui Huang
commit: 7d626fa31706272569a312176d42b2569a6deb74
Author: Huang Rui  gmail  com>
AuthorDate: Fri Oct 20 16:47:54 2023 +
Commit: Rui Huang  gmail  com>
CommitDate: Fri Oct 20 16:47:54 2023 +
URL:https://gitweb.gentoo.org/repo/proj/guru.git/commit/?id=7d626fa3

sci-electronics/kactus2: fix build with qt6/6.6.0

- fix build problem with qt6/6.6.0, add missing QSharedPointer header
- improve ebuild and follow @ceamac 's suggestions, thanks

Signed-off-by: Huang Rui  gmail.com>

 ...kactus2-3.12.0-fix-missing-qsharedpointer.patch | 117 +
 sci-electronics/kactus2/kactus2-3.12.0.ebuild  |  13 ++-
 sci-electronics/kactus2/kactus2-.ebuild|  45 +---
 3 files changed, 155 insertions(+), 20 deletions(-)

diff --git 
a/sci-electronics/kactus2/files/kactus2-3.12.0-fix-missing-qsharedpointer.patch 
b/sci-electronics/kactus2/files/kactus2-3.12.0-fix-missing-qsharedpointer.patch
new file mode 100644
index 00..ff271885f0
--- /dev/null
+++ 
b/sci-electronics/kactus2/files/kactus2-3.12.0-fix-missing-qsharedpointer.patch
@@ -0,0 +1,117 @@
+From 1fd0d3cc8a3530a04491e736a673b9fc5092daeb Mon Sep 17 00:00:00 2001
+From: Huang Rui 
+Date: Fri, 20 Oct 2023 23:41:51 +0800
+Subject: [PATCH] [CORRECTIVE] add missing  include to fix
+ QT6.6.0 build
+
+- add missing #include  to fix incomplete type about 
QSharedPointer
+
+Closes: https://github.com/kactus2/kactus2dev/issues/85
+Signed-off-by: Huang Rui 
+---
+ editors/HWDesign/undoCommands/HWComponentAddCommand.h| 5 +++--
+ .../SystemDesign/UndoCommands/ApiConnectionDeleteCommand.h   | 3 ++-
+ .../SystemDesign/UndoCommands/ComConnectionDeleteCommand.h   | 3 ++-
+ editors/SystemDesign/UndoCommands/SWInterfaceDeleteCommand.h | 3 ++-
+ .../SystemDesign/UndoCommands/SystemComponentDeleteCommand.h | 3 ++-
+ editors/SystemDesign/UndoCommands/SystemDeleteCommands.h | 3 ++-
+ 6 files changed, 13 insertions(+), 7 deletions(-)
+
+diff --git a/editors/HWDesign/undoCommands/HWComponentAddCommand.h 
b/editors/HWDesign/undoCommands/HWComponentAddCommand.h
+index fe53209d6..c131ada50 100644
+--- a/editors/HWDesign/undoCommands/HWComponentAddCommand.h
 b/editors/HWDesign/undoCommands/HWComponentAddCommand.h
+@@ -12,10 +12,11 @@
+ #ifndef HWCOMPONENTADDCOMMAND_H
+ #define HWCOMPONENTADDCOMMAND_H
+ 
+-#include 
+ #include 
+-#include 
+ #include 
++#include 
++#include 
++#include 
+ 
+ class IGraphicsItemStack;
+ class ComponentItem;
+diff --git a/editors/SystemDesign/UndoCommands/ApiConnectionDeleteCommand.h 
b/editors/SystemDesign/UndoCommands/ApiConnectionDeleteCommand.h
+index 02aaea2c1..7173b9dd9 100644
+--- a/editors/SystemDesign/UndoCommands/ApiConnectionDeleteCommand.h
 b/editors/SystemDesign/UndoCommands/ApiConnectionDeleteCommand.h
+@@ -12,9 +12,10 @@
+ #ifndef APICONNECTIONDELETECOMMAND_H
+ #define APICONNECTIONDELETECOMMAND_H
+ 
+-#include 
+ #include 
+ #include 
++#include 
++#include 
+ 
+ class ApiGraphicsConnection;
+ 
+diff --git a/editors/SystemDesign/UndoCommands/ComConnectionDeleteCommand.h 
b/editors/SystemDesign/UndoCommands/ComConnectionDeleteCommand.h
+index 5e86dbdf1..e0676b2ca 100644
+--- a/editors/SystemDesign/UndoCommands/ComConnectionDeleteCommand.h
 b/editors/SystemDesign/UndoCommands/ComConnectionDeleteCommand.h
+@@ -12,9 +12,10 @@
+ #ifndef COMCONNECTIONDELETECOMMAND_H
+ #define COMCONNECTIONDELETECOMMAND_H
+ 
+-#include 
+ #include 
+ #include 
++#include 
++#include 
+ 
+ class ComGraphicsConnection;
+ 
+diff --git a/editors/SystemDesign/UndoCommands/SWInterfaceDeleteCommand.h 
b/editors/SystemDesign/UndoCommands/SWInterfaceDeleteCommand.h
+index d05d3750d..72a1128fd 100644
+--- a/editors/SystemDesign/UndoCommands/SWInterfaceDeleteCommand.h
 b/editors/SystemDesign/UndoCommands/SWInterfaceDeleteCommand.h
+@@ -12,9 +12,10 @@
+ #ifndef SWINTERFACEDELETECOMMAND_H
+ #define SWINTERFACEDELETECOMMAND_H
+ 
+-#include 
+ #include 
+ #include 
++#include 
++#include 
+ 
+ class IGraphicsItemStack;
+ class SWInterfaceItem;
+diff --git a/editors/SystemDesign/UndoCommands/SystemComponentDeleteCommand.h 
b/editors/SystemDesign/UndoCommands/SystemComponentDeleteCommand.h
+index 36abdda6f..ecfcef7db 100644
+--- a/editors/SystemDesign/UndoCommands/SystemComponentDeleteCommand.h
 b/editors/SystemDesign/UndoCommands/SystemComponentDeleteCommand.h
+@@ -12,9 +12,10 @@
+ #ifndef SYSTEMCOMPONENTDELETECOMMAND_H
+ #define SYSTEMCOMPONENTDELETECOMMAND_H
+ 
+-#include 
+ #include 
+ #include 
++#include 
++#include 
+ 
+ class ComponentItem;
+ class IGraphicsItemStack;
+diff --git a/editors/SystemDesign/UndoCommands/SystemDeleteCommands.h 
b/editors/SystemDesign/UndoCommands/SystemDeleteCommands.h
+index 7eb0ed694..a3c1748e6 100644
+--- a/editors/SystemDesign/UndoCommands/SystemDeleteCommands.h
 b/editors/SystemDesign/UndoCommands/SystemDeleteCommands.h
+@@ -12,9 +12,10 @@
+ #ifndef SYSTEMDELETECOMMANDS_H
+ #define SYSTEMDELETECOMMANDS_H
+ 
+-#include 
+ #include 
+ #include 

[gentoo-commits] repo/proj/guru:dev commit in: sci-electronics/kactus2/, sci-electronics/kactus2/files/

2023-10-17 Thread Rui Huang
commit: f88ca576d21d2a7b970d0686cce2f722be18d3c7
Author: Huang Rui  gmail  com>
AuthorDate: Tue Oct 17 15:07:19 2023 +
Commit: Rui Huang  gmail  com>
CommitDate: Tue Oct 17 15:07:30 2023 +
URL:https://gitweb.gentoo.org/repo/proj/guru.git/commit/?id=f88ca576

sci-electronics/kactus2: add 3.12.0

Closes: https://bugs.gentoo.org/854075
Closes: https://bugs.gentoo.org/854078
Closes: https://bugs.gentoo.org/854081
Signed-off-by: Huang Rui  gmail.com>

 sci-electronics/kactus2/Manifest   |  1 +
 .../files/kactus2-3.12.0-fix-createhelp.patch  | 94 ++
 sci-electronics/kactus2/kactus2-3.12.0.ebuild  | 94 ++
 3 files changed, 189 insertions(+)

diff --git a/sci-electronics/kactus2/Manifest b/sci-electronics/kactus2/Manifest
index a78a46fe6c..cab286dc47 100644
--- a/sci-electronics/kactus2/Manifest
+++ b/sci-electronics/kactus2/Manifest
@@ -1 +1,2 @@
 DIST kactus2-3.10.0.tar.gz 27887683 BLAKE2B 
3addb9d2112fcd79891748ad35bd9e1b93acff1d04bcb75612d4e8018f30c6f015e496860e66e0e8ade3397339de31f700e91d35b18f2eccbd2621b8751d477b
 SHA512 
a54396f6e305bbc8f70a1af4a5ca0cdc28fc6a95ea1f44c8f9bcd16b7f4a161ad738edd14840ee2356aba4d7e2f198d6ee3ced1f0e5ef32a5717f2ea61cfb5bd
+DIST kactus2-3.12.0.tar.gz 28154387 BLAKE2B 
c8e0a982343d3a34f9186bca1778b68b4dfdcd977488751f90d9d5372df96c4f7349c90e15203f66778ee1be399e9e2ddb5342dffe1025754e44604c77c16684
 SHA512 
d7cef803314262c9fb0b77db88b5d7935203e1484965f475e954bceb1bc56a31ee9867fe1d73959d62a8454238480226df8fbd2d487442bce44fe7476de6d5bb

diff --git a/sci-electronics/kactus2/files/kactus2-3.12.0-fix-createhelp.patch 
b/sci-electronics/kactus2/files/kactus2-3.12.0-fix-createhelp.patch
new file mode 100644
index 00..41e4bfc268
--- /dev/null
+++ b/sci-electronics/kactus2/files/kactus2-3.12.0-fix-createhelp.patch
@@ -0,0 +1,94 @@
+From d3e5af0dba523303708d30ceb8cd8339eabd4320 Mon Sep 17 00:00:00 2001
+From: Huang Rui 
+Date: Tue, 17 Oct 2023 19:11:21 +0800
+Subject: [PATCH] [CORRECTIVE] fix build PATH bugs about QT
+
+- Path variables need to be enclosed in double quotes to prevent
+  accidents caused by spaces in the middle.
+- createhelp also needs to do the same processing as configure
+- Fixed build issues under gentoo and archlinux
+
+Signed-off-by: Huang Rui 
+---
+ configure  | 15 ++-
+ createhelp | 25 -
+ 2 files changed, 34 insertions(+), 6 deletions(-)
+
+diff --git a/configure b/configure
+index 82c7b9060..f74a23ee2 100755
+--- a/configure
 b/configure
+@@ -20,27 +20,32 @@ print_success() {
+ }
+ 
+ # Auto search QTBIN_PATH when empty and qmake already installed.
+-if [ -z ${QTBIN_PATH} ]; then
++if [ -z "${QTBIN_PATH}" ]; then
+ if command -v qmake6 >/dev/null 2>&1; then
+ # Default to qmake6, which will exist on some linux distributions.
+-QTBIN_PATH=$(qmake6 -query QT_INSTALL_BINS)/
++QTBIN_PATH="$(qmake6 -query QT_INSTALL_BINS)/"
++QTLIBEXEC_PATH="$(qmake6 -query QT_INSTALL_LIBEXECS)/"
+ elif command -v qmake >/dev/null 2>&1; then
+ # qmake exists on the vast majority of linux distributions.
+-QTBIN_PATH=$(qmake -query QT_INSTALL_BINS)/
++QTBIN_PATH="$(qmake -query QT_INSTALL_BINS)/"
++QTLIBEXEC_PATH="$(qmake -query QT_INSTALL_LIBEXECS)/"
+ fi
+ fi
+ 
+ # Generate compressed help files.
+ echo "Generating compressed help files..."
+ if command -v ${QTBIN_PATH}qhelpgenerator >/dev/null 2>&1; then
+-${QTBIN_PATH}qhelpgenerator Help/kactus2help.qhcp -o Help/Kactus2Help.qhc
++"${QTBIN_PATH}qhelpgenerator" Help/kactus2help.qhcp -o 
Help/Kactus2Help.qhc
++elif command -v ${QTLIBEXEC_PATH}qhelpgenerator >/dev/null 2>&1; then
++# QT6 put qhelpgenerator at QTLIBEXEC_PATH
++"${QTLIBEXEC_PATH}qhelpgenerator" Help/kactus2help.qhcp -o 
Help/Kactus2Help.qhc
+ else
+ echo "Qhelpgenerator not found. Please set variable QTBIN_PATH to Qt 
binary files."
+ fi
+ 
+ if command -v ${QTBIN_PATH}qmake >/dev/null 2>&1; then
+echo "Running qmake..."
+-   ${QTBIN_PATH}qmake Kactus2_Solution.pro
++   "${QTBIN_PATH}qmake" Kactus2_Solution.pro
+print_success
+ else
+ echo "Qmake not found. Please set variable QTBIN_PATH to Qt binary files."
+diff --git a/createhelp b/createhelp
+index 72299e655..522378331 100755
+--- a/createhelp
 b/createhelp
+@@ -1,7 +1,30 @@
+ #!/bin/sh
+ 
++# Change this to your Qt binaries directory.
++QTBIN_PATH=""
++
++# Auto search QTBIN_PATH when empty and qmake already installed.
++if [ -z "${QTBIN_PATH}" ]; then
++if command -v qmake6 >/dev/null 2>&1; then
++# Default to qmake6, which will exist on some linux distributions.
++QTBIN_PATH="$(qmake6 -query QT_INSTALL_BINS)/"
++QTLIBEXEC_PATH="$(qmake6 -query QT_INSTALL_LIBEXECS)/"
++elif command -v qmake >/dev/null 2>&1; then
++# qmake exists on the vast majority of linux distributions.
++QTBIN_PATH="$(qmake -query QT_INSTALL_BINS)/"
++

[gentoo-commits] repo/proj/guru:dev commit in: sci-electronics/kactus2/, sci-electronics/kactus2/files/

2022-06-22 Thread Rui Huang
commit: bf39d533a557ec5019b6f46aa334f9af7b8f3092
Author: Huang Rui  gmail  com>
AuthorDate: Wed Jun 22 13:54:25 2022 +
Commit: Rui Huang  gmail  com>
CommitDate: Wed Jun 22 13:54:33 2022 +
URL:https://gitweb.gentoo.org/repo/proj/guru.git/commit/?id=bf39d533

sci-electronics/kactus2: drop 3.8.0

Closes: https://bugs.gentoo.org/848027
Closes: https://bugs.gentoo.org/817305
Closes: https://bugs.gentoo.org/781677
Closes: https://bugs.gentoo.org/781674
Closes: https://bugs.gentoo.org/781671
Closes: https://bugs.gentoo.org/781635
Signed-off-by: Huang Rui  gmail.com>

 sci-electronics/kactus2/Manifest   |  1 -
 .../kactus2/files/kactus2-3.8.0-install.patch  | 30 --
 sci-electronics/kactus2/kactus2-3.8.0.ebuild   | 47 --
 3 files changed, 78 deletions(-)

diff --git a/sci-electronics/kactus2/Manifest b/sci-electronics/kactus2/Manifest
index 93c3530ee..a78a46fe6 100644
--- a/sci-electronics/kactus2/Manifest
+++ b/sci-electronics/kactus2/Manifest
@@ -1,2 +1 @@
 DIST kactus2-3.10.0.tar.gz 27887683 BLAKE2B 
3addb9d2112fcd79891748ad35bd9e1b93acff1d04bcb75612d4e8018f30c6f015e496860e66e0e8ade3397339de31f700e91d35b18f2eccbd2621b8751d477b
 SHA512 
a54396f6e305bbc8f70a1af4a5ca0cdc28fc6a95ea1f44c8f9bcd16b7f4a161ad738edd14840ee2356aba4d7e2f198d6ee3ced1f0e5ef32a5717f2ea61cfb5bd
-DIST kactus2-3.8.0.tar.gz 19410435 BLAKE2B 
2117a7ea5118c59f5b96a449348ba2425646038eb756c5423301d3deba15765005aafae3241c943b7adfaa728edeac3f0963b1cad7c23892b68bbd6ed7de78c0
 SHA512 
426021465f296c63ff70738b7a56547ac6e5b46ed06b165da2bbcd7239ff9e65c099721ebfb5e7f90b0afa9f5621d7825ff7e216bcc4786f0431f1e98d95eee8

diff --git a/sci-electronics/kactus2/files/kactus2-3.8.0-install.patch 
b/sci-electronics/kactus2/files/kactus2-3.8.0-install.patch
deleted file mode 100644
index 0cce3b501..0
--- a/sci-electronics/kactus2/files/kactus2-3.8.0-install.patch
+++ /dev/null
@@ -1,30 +0,0 @@
 a/.qmake.conf
-+++ b/.qmake.conf
-@@ -2,9 +2,14 @@
- LOCAL_INSTALL_DIR=""
- 
- isEmpty(LOCAL_INSTALL_DIR) {
-+# Get the bitness of the system.
-+UNAME = $$system(uname -m)
-+
- # Select paths for binaries in accordance with convention.
- bin_path = /usr/bin
--lib_path = /usr/lib
-+# Lib path depend on the bitness of the system.
-+equals(UNAME, x86_64): lib_path = /usr/lib64
-+!equals(UNAME, x86_64): lib_path = /usr/lib
- plugin_path = /usr/share/kactus2/plugins
- 
- # Files and destination path for possible settings file upgrades.
-@@ -23,9 +28,8 @@ isEmpty(LOCAL_INSTALL_DIR) {
- unix:config.path = /etc/xdg/TUT
- 
- # Copying stuff, exact locations seem to depend on the bitness of the 
system.
--UNAME = $$system(uname -m)
--equals(UNAME, x86_64): unix:config.extra = cp 
./Administrative/releaseFiles/DefaultSettingsLinux.ini 
/etc/xdg/TUT/Kactus2.ini; (test -d /usr/lib64 && ln -f -s /usr/bin/kactus2 
/usr/lib64/libKactus2.so) || (test -d /lib/x86_64-linux-gnu && ln -f -s 
/usr/bin/kactus2 /lib/x86_64-linux-gnu/libKactus2.so)
--!equals(UNAME, x86_64): unix:config.extra = cp 
./Administrative/releaseFiles/DefaultSettingsLinux.ini 
/etc/xdg/TUT/Kactus2.ini; ln -f -s /usr/bin/kactus2 /usr/lib/libKactus2.so
-+equals(UNAME, x86_64): unix:config.extra = mkdir -p 
$(INSTALL_ROOT)/etc/xdg/TUT; cp 
./Administrative/releaseFiles/DefaultSettingsLinux.ini 
$(INSTALL_ROOT)/etc/xdg/TUT/Kactus2.ini; (test -d $(INSTALL_ROOT)/usr/lib64 && 
ln -f -s $(INSTALL_ROOT)/usr/bin/kactus2 
$(INSTALL_ROOT)/usr/lib64/libKactus2.so) || (test -d 
$(INSTALL_ROOT)/lib/x86_64-linux-gnu && ln -f -s 
$(INSTALL_ROOT)/usr/bin/kactus2 
$(INSTALL_ROOT)/lib/x86_64-linux-gnu/libKactus2.so)
-+!equals(UNAME, x86_64): unix:config.extra = mkdir -p 
$(INSTALL_ROOT)/etc/xdg/TUT; cp 
./Administrative/releaseFiles/DefaultSettingsLinux.ini 
$(INSTALL_ROOT)/etc/xdg/TUT/Kactus2.ini; ln -f -s 
$(INSTALL_ROOT)/usr/bin/kactus2 $(INSTALL_ROOT)/usr/lib/libKactus2.so
- 
- # Files and destination path for the IP-XACT files coming with an 
installation.
- unix:library.path = /usr/share/kactus2/library

diff --git a/sci-electronics/kactus2/kactus2-3.8.0.ebuild 
b/sci-electronics/kactus2/kactus2-3.8.0.ebuild
deleted file mode 100644
index e6a6e0224..0
--- a/sci-electronics/kactus2/kactus2-3.8.0.ebuild
+++ /dev/null
@@ -1,47 +0,0 @@
-# Copyright 1999-2022 Gentoo Authors
-# Distributed under the terms of the GNU General Public License v2
-
-EAPI="7"
-
-inherit xdg
-
-DESCRIPTION="A open source IP-XACT-based tool"
-HOMEPAGE="
-   https://research.tuni.fi/system-on-chip/tools/
-   https://github.com/kactus2/kactus2dev
-"
-
-if [[ "${PV}" == "" ]] ; then
-   inherit git-r3
-   EGIT_REPO_URI="https://github.com/${PN}/${PN}dev.git;
-else
-   SRC_URI="https://github.com/${PN}/${PN}dev/archive/v${PV}.tar.gz -> 
${P}.tar.gz"
-   KEYWORDS="~amd64 ~arm ~arm64 ~hppa ~m68k ~mips ~ppc ~ppc64 ~sparc ~x86"
-   S="${WORKDIR}/${PN}dev-${PV}"
-fi
-