CVS: cvs.openbsd.org: src

2021-08-31 Thread Claudio Jeker
CVSROOT:/cvs
Module name:src
Changes by: clau...@cvs.openbsd.org 2021/08/31 09:18:53

Modified files:
usr.sbin/rpki-client: rrdp.c 

Log message:
memset the pfds array in the poll loop and not only at the start.



CVS: cvs.openbsd.org: src

2021-08-31 Thread Stefan Sperling
CVSROOT:/cvs
Module name:src
Changes by: s...@cvs.openbsd.org2021/08/31 07:19:32

Modified files:
sys/dev/pci: if_iwx.c 

Log message:
Fix use of wrong pointer argument when freeing firmware paging info in iwx(4).

Found by mpi@ and gnezdo@
ok gnezdo@



CVS: cvs.openbsd.org: src

2021-08-31 Thread Martijn van Duren
CVSROOT:/cvs
Module name:src
Changes by: mart...@cvs.openbsd.org 2021/08/31 07:19:29

Modified files:
usr.sbin/relayd: agentx_control.c 

Log message:
Make "relayctl reload" when agentx enabling is toggled in relayd.conf work
consistently.

OK benno@



CVS: cvs.openbsd.org: src

2021-08-31 Thread Theo de Raadt
CVSROOT:/cvs
Module name:src
Changes by: dera...@cvs.openbsd.org 2021/08/31 08:45:25

Modified files:
sys/kern   : subr_hibernate.c 

Log message:
printing the hibernate image size in MB is easier on the eyes
ok mlarkin



CVS: cvs.openbsd.org: src

2021-08-31 Thread Mark Kettenis
CVSROOT:/cvs
Module name:src
Changes by: kette...@cvs.openbsd.org2021/08/31 09:11:54

Modified files:
sys/arch/amd64/amd64: identcpu.c tsc.c 

Log message:
Use the TSC delay(9) backend earlier on machines where we can.  Also use
the TSC for delays even if there is a skew between the TSCs of the cores
as this doesn't matter for delay(9).

Gets rid of te unreasonable clock speed reports on Intel Tiget Lake CPUs
where the i8254 behaves in weird ways.

ok patrick@, deraadt@, mlarkin@



CVS: cvs.openbsd.org: src

2021-08-31 Thread Theo Buehler
CVSROOT:/cvs
Module name:src
Changes by: t...@cvs.openbsd.org2021/08/31 05:19:19

Modified files:
lib/libcrypto/bn: bn_print.c bn_rand.c 

Log message:
whitespace



CVS: cvs.openbsd.org: src

2021-08-31 Thread Martin Pieuchot
CVSROOT:/cvs
Module name:src
Changes by: m...@cvs.openbsd.org2021/08/31 06:51:56

Modified files:
regress/usr.sbin/btrace: Makefile 
Added files:
regress/usr.sbin/btrace: if.bt if.ok 

Log message:
'if' tests.



CVS: cvs.openbsd.org: src

2021-08-31 Thread Martin Pieuchot
CVSROOT:/cvs
Module name:src
Changes by: m...@cvs.openbsd.org2021/08/31 05:30:21

Modified files:
usr.sbin/btrace: btrace.c map.c 

Log message:
Support storing syscall arguments in a map/hist.



CVS: cvs.openbsd.org: src

2021-08-31 Thread Martin Pieuchot
CVSROOT:/cvs
Module name:src
Changes by: m...@cvs.openbsd.org2021/08/31 06:51:25

Modified files:
usr.sbin/btrace: bt_parse.y btrace.c 

Log message:
Basic test, if (no else atm), support with a single statement.



CVS: cvs.openbsd.org: src

2021-08-31 Thread Joel Sing
CVSROOT:/cvs
Module name:src
Changes by: js...@cvs.openbsd.org   2021/08/31 07:14:44

Modified files:
lib/libssl : d1_pkt.c 

Log message:
Remove a nonsensical s->version == TLS1_VERSION from DTLS code.

ok inoguchi@ tb@ (as part of a larger diff)



CVS: cvs.openbsd.org: src

2021-08-31 Thread Joel Sing
CVSROOT:/cvs
Module name:src
Changes by: js...@cvs.openbsd.org   2021/08/31 07:34:55

Modified files:
lib/libssl : d1_pkt.c dtls_locl.h 

Log message:
Defragment DTLS.

In normal TLS, it is possible for record fragments to be sent that contain
one byte of alert or handshake message payload. In this case we have to
read and collate multiple message fragments before we can decide what to
do with the record.

However, in the case of DTLS, one record is effectively one packet and
while it is possible to send handshake messages across multiple
records/packets, the minimum payload is the DTLS handshake message header
(plus one byte of data if the handshake message has a payload) - without
this, there is insufficient information available to be able to reassemble
the handshake message. Likewise, splitting an alert across multiple DTLS
records simply does not work, as we have no way of knowing if we're
collating the same alert or two different alerts that we lost half of each
from (unfortunately, these details are not really specified in the DTLS
RFC).

This means that for DTLS we can expect to receive a full alert message
(a whole two bytes) or a handshake record with at least the handshake
message header (12 bytes). If we receive messages with less than these
lengths we discard them and carry on (which is what the DTLS code already
does).

Remove all of the pointless fragment handling code from DTLS, while also
fixing an issue where one case used rr->data instead of the handshake
fragment.

ok inoguchi@ tb@



CVS: cvs.openbsd.org: src

2021-08-31 Thread Mike Larkin
CVSROOT:/cvs
Module name:src
Changes by: mlar...@cvs.openbsd.org 2021/08/31 08:37:49

Modified files:
sys/arch/amd64/amd64: db_interface.c 

Log message:
Add "machine sysregs" command to DDB

>From Alex Wilson, Thanks!



CVS: cvs.openbsd.org: xenocara

2021-08-31 Thread Martijn van Duren
CVSROOT:/cvs
Module name:xenocara
Changes by: mart...@cvs.openbsd.org 2021/08/31 07:14:04

Modified files:
app/xterm  : xterm.h 

Log message:
Make xterm use my_wcwidth unconditionally again.

CharWidth is a conditional wrapper that assumes that all wide characters
in the range 32-126 and 160-255) are latin-1 characters and are identical
with the unicode (UTF-8) codepoints and result in a width of 1.

This is correct in so far that the names of these code-points are
identical, but for SHY (soft-hyphen) the explanation of how it should be
used differs between unicode and latin-1. Latin-1 assumes that it's always
displayed, for unicode it should only be displayed after local grammar
rules apply.

This wrapper got introduced in xterm #334 and is on the short-list of Thomas
Dickey to fix. Since we don't know when the next release is going to be,
commit this one now, so we have it fixed before 7.0.

Originally discrepency between xterm and wcwidth(3) pointed out by Lauri
Tirkkonen (lauri  hacktheplanet  fi).
OK matthieu@



CVS: cvs.openbsd.org: src

2021-08-31 Thread Jan Klemkow
CVSROOT:/cvs
Module name:src
Changes by: j...@cvs.openbsd.org2021/08/31 06:24:15

Modified files:
sys/arch/riscv64/dev: sfuart.c 
sys/dev/fdt: amluart.c imxuart.c mvuart.c 
sys/dev/ic : pluart.c 

Log message:
Using suser() instead of doing it manually.

ok patrick@



CVS: cvs.openbsd.org: src

2021-08-31 Thread Mark Kettenis
CVSROOT:/cvs
Module name:src
Changes by: kette...@cvs.openbsd.org2021/08/31 09:37:40

Modified files:
share/man/man4/man4.arm64: Makefile 
Added files:
share/man/man4/man4.arm64: aplpinctrl.4 

Log message:
aplpinctrl(4)



CVS: cvs.openbsd.org: src

2021-08-31 Thread Patrick Wildt
CVSROOT:/cvs
Module name:src
Changes by: patr...@cvs.openbsd.org 2021/08/31 09:52:11

Modified files:
sys/dev/pv : hyperv.c pvbus.c 

Log message:
When running on Hyper-V, make use of its timecounter as delay func in case
we're still using the i8254 for that.  On Hyper-V Gen 2 VMs there is no
i8254 we can trust, so we need some kind of fallback, especially if there
is no TSC either.

Discussed with the hackroom
ok kettenis@



CVS: cvs.openbsd.org: src

2021-08-31 Thread Mark Kettenis
CVSROOT:/cvs
Module name:src
Changes by: kette...@cvs.openbsd.org2021/08/31 09:21:19

Modified files:
sys/arch/arm64/dev: aplpcie.c 

Log message:
Do pinctrl stuff.

ok patrick@



CVS: cvs.openbsd.org: src

2021-08-31 Thread Claudio Jeker
CVSROOT:/cvs
Module name:src
Changes by: clau...@cvs.openbsd.org 2021/08/31 09:31:28

Modified files:
sys/kern   : vfs_subr.c 

Log message:
Swap lock flags so that LK_EXCLUSIVE is first like in all other places.



CVS: cvs.openbsd.org: src

2021-08-31 Thread Patrick Wildt
CVSROOT:/cvs
Module name:src
Changes by: patr...@cvs.openbsd.org 2021/08/31 09:53:36

Modified files:
sys/arch/amd64/amd64: lapic.c 

Log message:
Only use the i8254 delay code if we are specifically using the i8254 as
delay func.  Otherwise simply delay for a second to calibrate the LAPIC.
Install the lapic delay func only if we were using the i8254 before as
delay func.

Discussed with the hackroom
ok kettenis@



CVS: cvs.openbsd.org: src

2021-08-31 Thread Patrick Wildt
CVSROOT:/cvs
Module name:src
Changes by: patr...@cvs.openbsd.org 2021/08/31 09:52:59

Modified files:
sys/arch/amd64/amd64: identcpu.c mainbus.c 

Log message:
Identify the paravirtual bus earlier, as we need to make sure that we have
a working delay func ready before the first occurence of delay().  This is
necessary on Hyper-V Gen 2 VMs where we don't use the TSC.

Discussed with the hackroom
ok kettenis@



CVS: cvs.openbsd.org: src

2021-08-31 Thread Mark Kettenis
CVSROOT:/cvs
Module name:src
Changes by: kette...@cvs.openbsd.org2021/08/31 09:20:06

Modified files:
sys/arch/arm64/conf: GENERIC RAMDISK files.arm64 
Added files:
sys/arch/arm64/dev: aplpinctrl.c 

Log message:
Add aplpinctrl(4), a driver for the Apple GPIO controller found on M1 SoCs.

ok patrick@



CVS: cvs.openbsd.org: src

2021-08-31 Thread Dave Voutila
CVSROOT:/cvs
Module name:src
Changes by: d...@cvs.openbsd.org2021/08/31 11:40:59

Modified files:
sys/arch/amd64/amd64: cpu.c ipifuncs.c vmm.c 
sys/arch/amd64/include: cpu.h intrdefs.h vmmvar.h 

Log message:
vmm(4): add ipi for vmclear, unlock kernel

On Intel VMX hosts, when a guest migrates cpus, VMCS state needs
to be flushed to physical memory before being reloaded on the new
cpu. This diff adds a new ipi to allow a guest resuming on a new
cpu to signal to the old that it needs to vmclear.

To better surface the potential race conditions, unlock the kernel
after handling the ioctl to vmm and simplify the run loops for both
vmx and svm. This requires a new vcpu lock.

Tested by some on tech@. "go for it" @mlarkin



CVS: cvs.openbsd.org: src

2021-08-31 Thread Florian Obser
CVSROOT:/cvs
Module name:src
Changes by: flor...@cvs.openbsd.org 2021/08/31 12:12:47

Modified files:
usr.sbin/traceroute: traceroute.c traceroute.h worker.c 

Log message:
Make includes follow style(9).



CVS: cvs.openbsd.org: xenocara

2021-08-31 Thread Matthieu Herrb
CVSROOT:/cvs
Module name:xenocara
Changes by: matth...@cvs.openbsd.org2021/08/31 12:56:43

Modified files:
driver/xf86-video-intel: configure.ac 

Log message:
remove `pwd $0` bashism



CVS: cvs.openbsd.org: xenocara

2021-08-31 Thread Matthieu Herrb
CVSROOT:/cvs
Module name:xenocara
Changes by: matth...@cvs.openbsd.org2021/08/31 12:57:27

Modified files:
driver/xf86-video-intel: Makefile.in aclocal.m4 configure 
driver/xf86-video-intel/libobj: Makefile.in 
driver/xf86-video-intel/man: Makefile.in 
driver/xf86-video-intel/src: Makefile.in 
driver/xf86-video-intel/src/legacy: Makefile.in 
driver/xf86-video-intel/src/legacy/i810: Makefile.in 
driver/xf86-video-intel/src/legacy/i810/xvmc: Makefile.in 
driver/xf86-video-intel/src/render_program: Makefile.in 
driver/xf86-video-intel/src/sna: Makefile.in 
driver/xf86-video-intel/src/sna/brw: Makefile.in 
driver/xf86-video-intel/src/sna/fb: Makefile.in 
driver/xf86-video-intel/src/uxa: Makefile.in 
driver/xf86-video-intel/test: Makefile.in 
driver/xf86-video-intel/tools: Makefile.in 
driver/xf86-video-intel/xvmc: Makefile.in 
driver/xf86-video-intel/xvmc/shader: Makefile.in 
driver/xf86-video-intel/xvmc/shader/mc: Makefile.in 
driver/xf86-video-intel/xvmc/shader/vld: Makefile.in 

Log message:
regen



CVS: cvs.openbsd.org: src

2021-08-31 Thread Klemens Nanni
CVSROOT:/cvs
Module name:src
Changes by: k...@cvs.openbsd.org2021/08/31 14:18:03

Modified files:
sbin/unwind: parse.y resolver.c unwind.c unwind.conf.5 
 unwind.h 

Log message:
Say autoconf not dhcp

Do not abuse "dhcp" to say "DHCP and SLAAC".
unwind.conf(5) does so but unwindctl(8) does not;  in fact, the latter
already has `status autoconf' to
Show nameservers learned from dhclient(8), dhcpleased(8) or slaacd(8).

Adjust unwind's config manual and internal code accordingly;  still accept
the old keyword but do not document it.

hostname.if(5) already advises for `inet[6] autoconf' instead of `dhcp' and
other related daemons don't abuse the word "dhcp" like unwind does.

Feedback sthen
OK florian



CVS: cvs.openbsd.org: src

2021-08-31 Thread Patrick Wildt
CVSROOT:/cvs
Module name:src
Changes by: patr...@cvs.openbsd.org 2021/08/31 17:05:11

Modified files:
sys/dev/ic : bwfm.c bwfmvar.h 
sys/dev/pci: if_bwfm_pci.c if_bwfm_pci.h 

Log message:
Implement suspend/resume for bwfm(4) with PCIe backend.  We try to send the
device into D3 and do a hot-resume if possible.  Otherwise we need to clean
up the resources to allow complete HW re-initialization to take place.



CVS: cvs.openbsd.org: src

2021-08-31 Thread Patrick Wildt
CVSROOT:/cvs
Module name:src
Changes by: patr...@cvs.openbsd.org 2021/08/31 14:58:51

Modified files:
sys/dev/pci: if_bwfm_pci.c 

Log message:
Initialize ring read/write pointers to make sure that upon reinit, caused
by a suspend/resume cycle, the pointers are set to a sane default.



CVS: cvs.openbsd.org: src

2021-08-31 Thread Patrick Wildt
CVSROOT:/cvs
Module name:src
Changes by: patr...@cvs.openbsd.org 2021/08/31 15:46:00

Modified files:
sys/dev/ic : bwfm.c 

Log message:
Clean up the list of chips upon detach and mark us uninitialized.



CVS: cvs.openbsd.org: src

2021-08-31 Thread Jonathan Matthew
CVSROOT:/cvs
Module name:src
Changes by: jmatt...@cvs.openbsd.org2021/08/31 16:55:56

Modified files:
sys/dev/usb: usbdevs 

Log message:
Add Aquantia USB ethernet devices

from Brad originally



CVS: cvs.openbsd.org: src

2021-08-31 Thread Alexander Bluhm
CVSROOT:/cvs
Module name:src
Changes by: bl...@cvs.openbsd.org   2021/08/31 17:33:05

Modified files:
share/mk   : bsd.regress.mk 

Log message:
Make include bsd.prog.mk is supporting PROGS for a while.  Allow
multiple programs also in bsd.regress.mk for consistency.
OK anton@



CVS: cvs.openbsd.org: src

2021-08-31 Thread Theo Buehler
CVSROOT:/cvs
Module name:src
Changes by: t...@cvs.openbsd.org2021/08/31 14:14:40

Modified files:
lib/libcrypto/ec: ec_asn1.c 

Log message:
Remove some dead code that was missed in an earlier cleanup and
fix a stale comment.

Found by mortimer with clang 13's -Wunused-but-set-variable.

ok beck



CVS: cvs.openbsd.org: src

2021-08-31 Thread Klemens Nanni
CVSROOT:/cvs
Module name:src
Changes by: k...@cvs.openbsd.org2021/08/31 14:28:45

Modified files:
sbin/unwind: unwind.conf.5 

Log message:
Adjust .Bl width



CVS: cvs.openbsd.org: src

2021-08-31 Thread Patrick Wildt
CVSROOT:/cvs
Module name:src
Changes by: patr...@cvs.openbsd.org 2021/08/31 15:02:09

Modified files:
sys/dev/pci: if_bwfm_pci.c 

Log message:
Initialize some struct variables to make sure that upon reinit, caused by
a suspend/resume cycle, the values are set to a sane default.



CVS: cvs.openbsd.org: src

2021-08-31 Thread Darren Tucker
CVSROOT:/cvs
Module name:src
Changes by: dtuc...@cvs.openbsd.org 2021/08/31 18:50:27

Modified files:
regress/usr.bin/ssh: agent-getpeereid.sh keys-command.sh 
 putty-ciphers.sh putty-kex.sh 
 putty-transfer.sh sftp-chroot.sh 
 sshfp-connect.sh test-exec.sh 

Log message:
Add a function to skip remaining tests.  Many tests skip tests for
various reasons but not in a consistent way and don't always clean
up, so add that and switch the tests that do that over.



CVS: cvs.openbsd.org: src

2021-08-31 Thread Darren Tucker
CVSROOT:/cvs
Module name:src
Changes by: dtuc...@cvs.openbsd.org 2021/08/31 21:16:06

Modified files:
regress/usr.bin/ssh: putty-ciphers.sh putty-kex.sh 
 putty-transfer.sh 

Log message:
Fix ssh-rsa fallback for old PuTTY interop tests.



CVS: cvs.openbsd.org: src

2021-08-31 Thread Klemens Nanni
CVSROOT:/cvs
Module name:src
Changes by: k...@cvs.openbsd.org2021/08/31 17:53:42

Modified files:
sys/kern   : Makefile 

Log message:
Honour netinet6 when generating symlinks to tags files

"make tags" needs "make links" to have tags available in subdirectories and
netinet6 has been missing all the time.

OK tb



CVS: cvs.openbsd.org: src

2021-08-31 Thread Patrick Wildt
CVSROOT:/cvs
Module name:src
Changes by: patr...@cvs.openbsd.org 2021/08/31 15:13:24

Modified files:
sys/dev/pci: if_bwfm_pci.c 

Log message:
Properly deallocate some more structures upon detach, and make sure we're
not considered initialized anymore.



CVS: cvs.openbsd.org: src

2021-08-31 Thread Jonathan Matthew
CVSROOT:/cvs
Module name:src
Changes by: jmatt...@cvs.openbsd.org2021/08/31 16:56:24

Modified files:
sys/dev/usb: usbdevs.h usbdevs_data.h 

Log message:
regen



Re: CVS: cvs.openbsd.org: src

2021-08-31 Thread Anton Lindqvist
On Tue, Aug 31, 2021 at 11:40:59AM -0600, Dave Voutila wrote:
> CVSROOT:  /cvs
> Module name:  src
> Changes by:   d...@cvs.openbsd.org2021/08/31 11:40:59
> 
> Modified files:
>   sys/arch/amd64/amd64: cpu.c ipifuncs.c vmm.c 
>   sys/arch/amd64/include: cpu.h intrdefs.h vmmvar.h 
> 
> Log message:
> vmm(4): add ipi for vmclear, unlock kernel
> 
> On Intel VMX hosts, when a guest migrates cpus, VMCS state needs
> to be flushed to physical memory before being reloaded on the new
> cpu. This diff adds a new ipi to allow a guest resuming on a new
> cpu to signal to the old that it needs to vmclear.
> 
> To better surface the potential race conditions, unlock the kernel
> after handling the ioctl to vmm and simplify the run loops for both
> vmx and svm. This requires a new vcpu lock.
> 
> Tested by some on tech@. "go for it" @mlarkin

The interaction with the kernel lock and vcpulock is not correct here as
syzkaller found 5 panics over night.

https://syzkaller.appspot.com/bug?id=dab82fdb3b82fb9483b17f40bf1ad237478fdd29
https://syzkaller.appspot.com/bug?id=9d3bc62ae7a42a632adcdfde978734aa3d30a2ff
https://syzkaller.appspot.com/bug?id=9448c6dbe1abb804c6162b86fc0e4c256bb8563b
https://syzkaller.appspot.com/bug?id=5ba2de27cb01f578272c552e79998ccd006857c3
https://syzkaller.appspot.com/bug?id=963bb2c17667da1bf53cb808d4eeadd2b01a464f



CVS: cvs.openbsd.org: src

2021-08-31 Thread Claudio Jeker
CVSROOT:/cvs
Module name:src
Changes by: clau...@cvs.openbsd.org 2021/08/31 03:51:25

Modified files:
usr.bin/ftp: fetch.c 

Log message:
Spacing. OK tb@



CVS: cvs.openbsd.org: src

2021-08-31 Thread Theo de Raadt
CVSROOT:/cvs
Module name:src
Changes by: dera...@cvs.openbsd.org 2021/08/31 03:56:12

Modified files:
sbin/resolvd   : resolvd.c 

Log message:
shorten some code



CVS: cvs.openbsd.org: src

2021-08-31 Thread Jasper Lievisse Adriaanse
CVSROOT:/cvs
Module name:src
Changes by: jas...@cvs.openbsd.org  2021/08/31 03:58:17

Modified files:
regress/lib/libc: Makefile 

Log message:
enter uuid/



CVS: cvs.openbsd.org: src

2021-08-31 Thread Jasper Lievisse Adriaanse
CVSROOT:/cvs
Module name:src
Changes by: jas...@cvs.openbsd.org  2021/08/31 03:57:27

Added files:
regress/lib/libc/uuid: Makefile uuidtest.c 

Log message:
add initial tests for uuid_from_string, uuid_to_string, uuid_create_nil

prompted by the bug krw@ fixed yesterday in uuid_from_string()



Re: CVS: cvs.openbsd.org: src

2021-08-31 Thread Stuart Henderson
Thanks, I have a few machines which hit that from time to time too.


On 2021/08/30 10:59, Martin Pieuchot wrote:
> CVSROOT:  /cvs
> Module name:  src
> Changes by:   m...@cvs.openbsd.org2021/08/30 10:59:17
> 
> Modified files:
>   sys/uvm: uvm_amap.c 
> 
> Log message:
> Fix a locking assertion in error path.
> 
> In amap_copy() make the new amap share the source amap's lock right in
> the begining and only allocate a new one if no anon have been referenced.
> 
> Issue reported by Thomas L.  on bugs@.
> 
> ok tb@
> 



CVS: cvs.openbsd.org: src

2021-08-31 Thread Claudio Jeker
CVSROOT:/cvs
Module name:src
Changes by: clau...@cvs.openbsd.org 2021/08/31 04:54:41

Modified files:
regress/usr.sbin/bgpd/unittests: rde_decide_test.c 

Log message:
Adjust rde_decide test vectors to the fact that struct prefix got changed.
Reminded by bluhm@ that bgpd regress tests failed



CVS: cvs.openbsd.org: src

2021-08-31 Thread Darren Tucker
CVSROOT:/cvs
Module name:src
Changes by: dtuc...@cvs.openbsd.org 2021/08/31 00:13:23

Modified files:
regress/usr.bin/ssh: putty-ciphers.sh putty-kex.sh 
 putty-transfer.sh 

Log message:
When running PuTTY interop tests and using a PuTTY version older than
0.76, re-enable the ssh-rsa host key algorithm (the 256 and 512 variants
of RSA were added some time between 0.73 and 0.76).



CVS: cvs.openbsd.org: src

2021-08-31 Thread Darren Tucker
CVSROOT:/cvs
Module name:src
Changes by: dtuc...@cvs.openbsd.org 2021/08/31 01:13:59

Modified files:
regress/usr.bin/ssh: putty-ciphers.sh putty-kex.sh 
 putty-transfer.sh 

Log message:
Specify path to PuTTY keys.  Portable needs this and it makes no
difference on OpenBSD, so resync them.



CVS: cvs.openbsd.org: src

2021-08-31 Thread Jasper Lievisse Adriaanse
CVSROOT:/cvs
Module name:src
Changes by: jas...@cvs.openbsd.org  2021/08/31 01:43:23

src/regress/lib/libc/uuid

Update of /cvs/src/regress/lib/libc/uuid
In directory cvs.openbsd.org:/tmp/cvs-serv17790/uuid

Log Message:
Directory /cvs/src/regress/lib/libc/uuid added to the repository



CVS: cvs.openbsd.org: src

2021-08-31 Thread Jasper Lievisse Adriaanse
CVSROOT:/cvs
Module name:src
Changes by: jas...@cvs.openbsd.org  2021/08/31 02:06:56

Modified files:
sys/dev/pci: if_bgereg.h 

Log message:
remove empty forward structs fro bge_ring_data as found with ctfconv.

tested by and ok jmatthew@



CVS: cvs.openbsd.org: src

2021-08-31 Thread Martin Pieuchot
CVSROOT:/cvs
Module name:src
Changes by: m...@cvs.openbsd.org2021/08/31 02:39:26

Modified files:
usr.sbin/btrace: TODO bt_parse.y bt_parser.h btrace.c map.c 

Log message:
Rewrite grammar to implement operator precedence without using %right or %prec.

Arithmetic operator should now behave as expeted and tests can now be written
without superfluous parenthesis, for example:

syscall:select:entry
/($1 == 0) || (pid == $1)/
{
}

Can now be written:

syscall:select:entry
/$1 == 0 || pid == $1/
{
}

While here improve filter debugging support.



CVS: cvs.openbsd.org: src

2021-08-31 Thread Martin Pieuchot
CVSROOT:/cvs
Module name:src
Changes by: m...@cvs.openbsd.org2021/08/31 02:39:46

Modified files:
regress/usr.sbin/btrace: Makefile 
Added files:
regress/usr.sbin/btrace: precedence.bt precedence.ok 

Log message:
Test for operator precedence