Source: solvespace
Version: 2.3+repack1-2
Severity: serious
Tags: buster sid

https://tests.reproducible-builds.org/debian/rb-pkg/unstable/amd64/solvespace.html

...
In file included from 
/usr/lib/gcc/x86_64-linux-gnu/7/include-fixed/limits.h:194:0,
                 from 
/usr/lib/gcc/x86_64-linux-gnu/7/include-fixed/syslimits.h:7,
                 from /usr/lib/gcc/x86_64-linux-gnu/7/include-fixed/limits.h:34,
                 from /build/1st/solvespace-2.3+repack1/src/solvespace.h:18,
                 from 
/build/1st/solvespace-2.3+repack1/src/unix/unixutil.cpp:12:
/build/1st/solvespace-2.3+repack1/src/ui.h:30:9: error: expected identifier 
before numeric constant
         CHAR_WIDTH     = 9,
         ^


This is caused by glibc >= 2.25 having a CHAR_WIDTH
define in /usr/include/limits.h

-- 
debian-science-maintainers mailing list
debian-science-maintainers@lists.alioth.debian.org
http://lists.alioth.debian.org/cgi-bin/mailman/listinfo/debian-science-maintainers

Reply via email to