[Bug fortran/24633] MODULE attribute conflicts with PROCEDURE attribute

2007-04-30 Thread anglade at gmail dot com
--- Comment #4 from anglade at gmail dot com 2007-04-30 07:37 --- subroutine abc() 1 Error: MODULE attribute of 'abc' conflicts with PROCEDURE attribute at (1) Hi, Yes this is already much more clear. Yet I wonder if MODULE attribute of 'abc' could be changed

[Bug fortran/24673] New: internal compiler error in fold_convert, at fold-const.c:2028

2005-11-04 Thread anglade at gmail dot com
- const.c:2028 Product: gcc Version: 4.1.0 Status: UNCONFIRMED Severity: major Priority: P3 Component: fortran AssignedTo: unassigned at gcc dot gnu dot org ReportedBy: anglade at gmail dot com http://gcc.gnu.org/bugzilla

[Bug fortran/20244] internal compiler error: in fold_convert, at fold-const.c:2003

2005-11-04 Thread anglade at gmail dot com
--- Comment #4 from anglade at gmail dot com 2005-11-04 14:54 --- Hi all, Looking at the examples of failure due to this bug, I've observed that they are due to user defined datatype. The function fold_convert seems to handle only intrinsic datatypes while the examples were using user

[Bug fortran/20244] internal compiler error: in fold_convert, at fold-const.c:2003

2005-11-04 Thread anglade at gmail dot com
--- Comment #7 from anglade at gmail dot com 2005-11-04 15:31 --- I've simplified to it's (almost) simplest expression the test case: module mtyp type t1 integer::a end type t1 end module mtyp module atest use mtyp type(t1)::ze contains subroutine test(ze_in ) use

[Bug fortran/24633] New: MODULE attribute conflicts with PROCEDURE attribute

2005-11-02 Thread anglade at gmail dot com
Product: gcc Version: 4.1.0 Status: UNCONFIRMED Severity: enhancement Priority: P3 Component: fortran AssignedTo: unassigned at gcc dot gnu dot org ReportedBy: anglade at gmail dot com http://gcc.gnu.org/bugzilla

[Bug fortran/24633] MODULE attribute conflicts with PROCEDURE attribute

2005-11-02 Thread anglade at gmail dot com
--- Comment #2 from anglade at gmail dot com 2005-11-02 13:28 --- (In reply to comment #1) Full testcase: module abc contains function abc() i=1 end function end module There is actually two problems here, the first is the message about the conflicts is not very clear