[gem5-dev] Change in gem5/gem5[master]: systemc: Add the non-standard sc_time_tuple class.

2018-06-16 Thread Gabe Black (Gerrit)
Gabe Black has uploaded this change for review. (  
https://gem5-review.googlesource.com/11277



Change subject: systemc: Add the non-standard sc_time_tuple class.
..

systemc: Add the non-standard sc_time_tuple class.

Change-Id: Ia3d6a6a4ea3383c82605653faac570ced7bebb70
---
M src/systemc/core/sc_time.cc
M src/systemc/ext/core/_using.hh
M src/systemc/ext/core/sc_time.hh
3 files changed, 66 insertions(+), 0 deletions(-)



diff --git a/src/systemc/core/sc_time.cc b/src/systemc/core/sc_time.cc
index ebc637b..167b374 100644
--- a/src/systemc/core/sc_time.cc
+++ b/src/systemc/core/sc_time.cc
@@ -273,4 +273,44 @@
 return *(sc_time *)nullptr;
 }

+sc_time_tuple::sc_time_tuple(const sc_time &)
+{
+warn("%s not implemented.\n", __PRETTY_FUNCTION__);
+}
+
+bool
+sc_time_tuple::has_value() const
+{
+warn("%s not implemented.\n", __PRETTY_FUNCTION__);
+return false;
+}
+
+sc_dt::uint64
+sc_time_tuple::value() const
+{
+warn("%s not implemented.\n", __PRETTY_FUNCTION__);
+return 0;
+}
+
+const char *
+sc_time_tuple::unit_symbol() const
+{
+warn("%s not implemented.\n", __PRETTY_FUNCTION__);
+return "";
+}
+
+double
+sc_time_tuple::to_double() const
+{
+warn("%s not implemented.\n", __PRETTY_FUNCTION__);
+return 0.0;
+}
+
+std::string
+sc_time_tuple::to_string() const
+{
+warn("%s not implemented.\n", __PRETTY_FUNCTION__);
+return "";
+}
+
 } // namespace sc_core
diff --git a/src/systemc/ext/core/_using.hh b/src/systemc/ext/core/_using.hh
index da82700..8f00949 100644
--- a/src/systemc/ext/core/_using.hh
+++ b/src/systemc/ext/core/_using.hh
@@ -140,6 +140,7 @@
 using sc_core::SC_MS;
 using sc_core::SC_SEC;
 using sc_core::sc_time;
+using sc_core::sc_time_tuple;
 using sc_core::SC_ZERO_TIME;
 using sc_core::sc_set_time_resolution;
 using sc_core::sc_get_time_resolution;
diff --git a/src/systemc/ext/core/sc_time.hh  
b/src/systemc/ext/core/sc_time.hh

index ff71d70..362834c 100644
--- a/src/systemc/ext/core/sc_time.hh
+++ b/src/systemc/ext/core/sc_time.hh
@@ -106,6 +106,31 @@
 void sc_set_default_time_unit(double, sc_time_unit);
 sc_time sc_get_default_time_unit();

+// Nonstandard
+class sc_time_tuple
+{
+  public:
+sc_time_tuple() : _value(), _unit(SC_SEC), _offset(1) {}
+sc_time_tuple(const sc_time &);
+
+bool has_value() const;
+sc_dt::uint64 value() const;
+// Normalized unit.
+sc_time_unit unit() const { return _unit; }
+// Normalized unit symbol.
+const char *unit_symbol() const;
+
+operator sc_time() const { return sc_time(to_double(), _unit); }
+
+double to_double() const; // Relative to the normalized unit.
+std::string to_string() const;
+
+  private:
+sc_dt::uint64 _value;
+sc_time_unit _unit;
+unsigned _offset;
+};
+
 } // namespace sc_core

 #endif  //__SYSTEMC_EXT_CORE_SC_TIME_HH__

--
To view, visit https://gem5-review.googlesource.com/11277
To unsubscribe, or for help writing mail filters, visit  
https://gem5-review.googlesource.com/settings


Gerrit-Project: public/gem5
Gerrit-Branch: master
Gerrit-Change-Id: Ia3d6a6a4ea3383c82605653faac570ced7bebb70
Gerrit-Change-Number: 11277
Gerrit-PatchSet: 1
Gerrit-Owner: Gabe Black 
Gerrit-MessageType: newchange
___
gem5-dev mailing list
gem5-dev@gem5.org
http://m5sim.org/mailman/listinfo/gem5-dev

[gem5-dev] Change in gem5/gem5[master]: systemc: Make the verify.py --list option print a total count.

2018-06-16 Thread Gabe Black (Gerrit)
Gabe Black has uploaded this change for review. (  
https://gem5-review.googlesource.com/11288



Change subject: systemc: Make the verify.py --list option print a total  
count.

..

systemc: Make the verify.py --list option print a total count.

Make the --list option of verify.py print a count of how many tests it
printed. Because --list respects the filter options, this is an easy
way to check how many tests have certain properties.

Change-Id: I03fac349a946631c20c8e6b49e0ad8934872898e
---
M src/systemc/tests/verify.py
1 file changed, 1 insertion(+), 0 deletions(-)



diff --git a/src/systemc/tests/verify.py b/src/systemc/tests/verify.py
index a262baa..ee1489d 100755
--- a/src/systemc/tests/verify.py
+++ b/src/systemc/tests/verify.py
@@ -216,6 +216,7 @@
 print('%s.%s' % (target, main_args.flavor))
 for key, val in props.iteritems():
 print('%s: %s' % (key, val))
+print('Total tests: %d' % len(filtered_tests))
 else:
 tests_to_run = list([
 Test(target, main_args.flavor, main_args.build_dir, props) for

--
To view, visit https://gem5-review.googlesource.com/11288
To unsubscribe, or for help writing mail filters, visit  
https://gem5-review.googlesource.com/settings


Gerrit-Project: public/gem5
Gerrit-Branch: master
Gerrit-Change-Id: I03fac349a946631c20c8e6b49e0ad8934872898e
Gerrit-Change-Number: 11288
Gerrit-PatchSet: 1
Gerrit-Owner: Gabe Black 
Gerrit-MessageType: newchange
___
gem5-dev mailing list
gem5-dev@gem5.org
http://m5sim.org/mailman/listinfo/gem5-dev

[gem5-dev] Change in gem5/gem5[master]: systemc: Add the nonstandard sc_user exception type.

2018-06-16 Thread Gabe Black (Gerrit)
Gabe Black has uploaded this change for review. (  
https://gem5-review.googlesource.com/11284



Change subject: systemc: Add the nonstandard sc_user exception type.
..

systemc: Add the nonstandard sc_user exception type.

This type is not in the spec but is used in the tests.

Change-Id: I4537a33b0b5dcb3c72a091276d601c244c4a3862
---
M src/systemc/ext/utils/sc_exception.hh
1 file changed, 8 insertions(+), 0 deletions(-)



diff --git a/src/systemc/ext/utils/sc_exception.hh  
b/src/systemc/ext/utils/sc_exception.hh

index 3bd8fb0..8e02ea9 100644
--- a/src/systemc/ext/utils/sc_exception.hh
+++ b/src/systemc/ext/utils/sc_exception.hh
@@ -37,6 +37,14 @@

 typedef std::exception sc_exception;

+// Nonstandard
+class sc_user
+{
+  public:
+sc_user() {}
+sc_user(const sc_user &) {}
+};
+
 } // namespace sc_core

 #endif  //__SYSTEMC_EXT_UTIL_SC_EXCEPTION_HH__

--
To view, visit https://gem5-review.googlesource.com/11284
To unsubscribe, or for help writing mail filters, visit  
https://gem5-review.googlesource.com/settings


Gerrit-Project: public/gem5
Gerrit-Branch: master
Gerrit-Change-Id: I4537a33b0b5dcb3c72a091276d601c244c4a3862
Gerrit-Change-Number: 11284
Gerrit-PatchSet: 1
Gerrit-Owner: Gabe Black 
Gerrit-MessageType: newchange
___
gem5-dev mailing list
gem5-dev@gem5.org
http://m5sim.org/mailman/listinfo/gem5-dev

[gem5-dev] Change in gem5/gem5[master]: systemc: Add the nonstandard sc_hierarchical_name_exists function.

2018-06-16 Thread Gabe Black (Gerrit)
Gabe Black has uploaded this change for review. (  
https://gem5-review.googlesource.com/11280



Change subject: systemc: Add the nonstandard sc_hierarchical_name_exists  
function.

..

systemc: Add the nonstandard sc_hierarchical_name_exists function.

Change-Id: I1340bb6cb0ae29d81b5d73b3dd39ebb11c14802c
---
M src/systemc/core/sc_module.cc
M src/systemc/ext/core/_using.hh
M src/systemc/ext/core/sc_module.hh
3 files changed, 11 insertions(+), 0 deletions(-)



diff --git a/src/systemc/core/sc_module.cc b/src/systemc/core/sc_module.cc
index 0954ce9..ffcff9e 100644
--- a/src/systemc/core/sc_module.cc
+++ b/src/systemc/core/sc_module.cc
@@ -615,6 +615,13 @@
 }

 bool
+sc_hierarchical_name_exists(const char *name)
+{
+warn("%s not implemented.\n", __PRETTY_FUNCTION__);
+return false;
+}
+
+bool
 sc_start_of_simulation_invoked()
 {
 warn("%s not implemented.\n", __PRETTY_FUNCTION__);
diff --git a/src/systemc/ext/core/_using.hh b/src/systemc/ext/core/_using.hh
index 8f00949..efc6341 100644
--- a/src/systemc/ext/core/_using.hh
+++ b/src/systemc/ext/core/_using.hh
@@ -93,6 +93,7 @@
 using sc_core::wait;
 using sc_core::halt;
 using sc_core::sc_gen_unique_name;
+using sc_core::sc_hierarchical_name_exists;
 using sc_core::sc_behavior;
 using sc_core::sc_channel;
 using sc_core::sc_start_of_simulation_invoked;
diff --git a/src/systemc/ext/core/sc_module.hh  
b/src/systemc/ext/core/sc_module.hh

index 7891961..82b48ab 100644
--- a/src/systemc/ext/core/sc_module.hh
+++ b/src/systemc/ext/core/sc_module.hh
@@ -272,6 +272,9 @@

 const char *sc_gen_unique_name(const char *);

+// Nonstandard
+bool sc_hierarchical_name_exists(const char *name);
+
 typedef sc_module sc_behavior;
 typedef sc_module sc_channel;


--
To view, visit https://gem5-review.googlesource.com/11280
To unsubscribe, or for help writing mail filters, visit  
https://gem5-review.googlesource.com/settings


Gerrit-Project: public/gem5
Gerrit-Branch: master
Gerrit-Change-Id: I1340bb6cb0ae29d81b5d73b3dd39ebb11c14802c
Gerrit-Change-Number: 11280
Gerrit-PatchSet: 1
Gerrit-Owner: Gabe Black 
Gerrit-MessageType: newchange
___
gem5-dev mailing list
gem5-dev@gem5.org
http://m5sim.org/mailman/listinfo/gem5-dev

[gem5-dev] Change in gem5/gem5[master]: systemc: Add some nonstandard, undocumented sc_report_* functions.

2018-06-16 Thread Gabe Black (Gerrit)
Gabe Black has uploaded this change for review. (  
https://gem5-review.googlesource.com/11271



Change subject: systemc: Add some nonstandard, undocumented sc_report_*  
functions.

..

systemc: Add some nonstandard, undocumented sc_report_* functions.

The tests use these functions.

Change-Id: I3cdc10d433d9388742a20fb3a97a1a3efa699e11
---
M src/systemc/ext/utils/_using.hh
M src/systemc/ext/utils/sc_report_handler.hh
M src/systemc/utils/sc_report_handler.cc
3 files changed, 23 insertions(+), 0 deletions(-)



diff --git a/src/systemc/ext/utils/_using.hh  
b/src/systemc/ext/utils/_using.hh

index 16b3e40..721f403 100644
--- a/src/systemc/ext/utils/_using.hh
+++ b/src/systemc/ext/utils/_using.hh
@@ -61,6 +61,8 @@
 using sc_core::sc_report_handler;
 using sc_core::sc_interrupt_here;
 using sc_core::sc_stop_here;
+using sc_core::sc_report_compose_message;
+using sc_core::sc_report_close_default_log;
 using sc_core::SC_DEFAULT_INFO_ACTIONS;
 using sc_core::SC_DEFAULT_WARNING_ACTIONS;
 using sc_core::SC_DEFAULT_ERROR_ACTIONS;
diff --git a/src/systemc/ext/utils/sc_report_handler.hh  
b/src/systemc/ext/utils/sc_report_handler.hh

index aa0b1c9..f6e32b9 100644
--- a/src/systemc/ext/utils/sc_report_handler.hh
+++ b/src/systemc/ext/utils/sc_report_handler.hh
@@ -30,6 +30,8 @@
 #ifndef __SYSTEMC_EXT_UTIL_SC_REPORT_HANDLER_HH__
 #define __SYSTEMC_EXT_UTIL_SC_REPORT_HANDLER_HH__

+#include 
+
 #include "sc_report.hh" // for sc_severity

 namespace sc_core
@@ -135,6 +137,11 @@
 void sc_interrupt_here(const char *msg_type, sc_severity);
 void sc_stop_here(const char *msg_type, sc_severity);

+// Nonstandard
+// From Accellera, "not documented, but available".
+const std::string sc_report_compose_message(const sc_report &);
+bool sc_report_close_default_log();
+
 } // namespace sc_core

 #endif  //__SYSTEMC_EXT_UTIL_SC_REPORT_HANDLER_HH__
diff --git a/src/systemc/utils/sc_report_handler.cc  
b/src/systemc/utils/sc_report_handler.cc

index 0faf995..1a0e0d4 100644
--- a/src/systemc/utils/sc_report_handler.cc
+++ b/src/systemc/utils/sc_report_handler.cc
@@ -234,4 +234,18 @@
 warn("%s not implemented.\n", __PRETTY_FUNCTION__);
 }

+const std::string
+sc_report_compose_message(const sc_report &)
+{
+warn("%s not implemented.\n", __PRETTY_FUNCTION__);
+return "";
+}
+
+bool
+sc_report_close_default_log()
+{
+warn("%s not implemented.\n", __PRETTY_FUNCTION__);
+return false;
+}
+
 } // namespace sc_core

--
To view, visit https://gem5-review.googlesource.com/11271
To unsubscribe, or for help writing mail filters, visit  
https://gem5-review.googlesource.com/settings


Gerrit-Project: public/gem5
Gerrit-Branch: master
Gerrit-Change-Id: I3cdc10d433d9388742a20fb3a97a1a3efa699e11
Gerrit-Change-Number: 11271
Gerrit-PatchSet: 1
Gerrit-Owner: Gabe Black 
Gerrit-MessageType: newchange
___
gem5-dev mailing list
gem5-dev@gem5.org
http://m5sim.org/mailman/listinfo/gem5-dev

[gem5-dev] Change in gem5/gem5[master]: systemc: Add the nonstandard time_stamp function.

2018-06-16 Thread Gabe Black (Gerrit)
Gabe Black has uploaded this change for review. (  
https://gem5-review.googlesource.com/11281



Change subject: systemc: Add the nonstandard time_stamp function.
..

systemc: Add the nonstandard time_stamp function.

Change-Id: I608c390b125611e5b62483c7e8567bb5479df553
---
M src/systemc/channel/sc_clock.cc
M src/systemc/ext/channel/sc_clock.hh
2 files changed, 10 insertions(+), 0 deletions(-)



diff --git a/src/systemc/channel/sc_clock.cc  
b/src/systemc/channel/sc_clock.cc

index 891c666..65f1f10 100644
--- a/src/systemc/channel/sc_clock.cc
+++ b/src/systemc/channel/sc_clock.cc
@@ -107,6 +107,13 @@
 return false;
 }

+const sc_time &
+sc_clock::time_stamp()
+{
+warn("%s not implemented.\n", __PRETTY_FUNCTION__);
+return *(const sc_time *)nullptr;
+}
+
 const char *sc_clock::kind() const { return "sc_clock"; }

 void sc_clock::before_end_of_elaboration() {}
diff --git a/src/systemc/ext/channel/sc_clock.hh  
b/src/systemc/ext/channel/sc_clock.hh

index ae1f6c9..30895ce 100644
--- a/src/systemc/ext/channel/sc_clock.hh
+++ b/src/systemc/ext/channel/sc_clock.hh
@@ -71,6 +71,9 @@
 const sc_time _time() const;
 bool posedge_first() const;

+// Nonstandard
+static const sc_time _stamp();
+
 virtual const char *kind() const;

   protected:

--
To view, visit https://gem5-review.googlesource.com/11281
To unsubscribe, or for help writing mail filters, visit  
https://gem5-review.googlesource.com/settings


Gerrit-Project: public/gem5
Gerrit-Branch: master
Gerrit-Change-Id: I608c390b125611e5b62483c7e8567bb5479df553
Gerrit-Change-Number: 11281
Gerrit-PatchSet: 1
Gerrit-Owner: Gabe Black 
Gerrit-MessageType: newchange
___
gem5-dev mailing list
gem5-dev@gem5.org
http://m5sim.org/mailman/listinfo/gem5-dev

[gem5-dev] Change in gem5/gem5[master]: systemc: Add the nonstandard timed_out function.

2018-06-16 Thread Gabe Black (Gerrit)
Gabe Black has uploaded this change for review. (  
https://gem5-review.googlesource.com/11279



Change subject: systemc: Add the nonstandard timed_out function.
..

systemc: Add the nonstandard timed_out function.

Change-Id: If14a5f98f03448c712827b7f92d2a36992541518
---
M src/systemc/core/sc_module.cc
M src/systemc/core/sc_prim.cc
M src/systemc/ext/core/sc_module.hh
M src/systemc/ext/core/sc_prim.hh
4 files changed, 31 insertions(+), 0 deletions(-)



diff --git a/src/systemc/core/sc_module.cc b/src/systemc/core/sc_module.cc
index b4fd292..0954ce9 100644
--- a/src/systemc/core/sc_module.cc
+++ b/src/systemc/core/sc_module.cc
@@ -301,6 +301,14 @@
 }


+bool
+sc_module::timed_out()
+{
+warn("%s not implemented.\n", __PRETTY_FUNCTION__);
+return false;
+}
+
+
 void
 sc_module::wait()
 {
@@ -483,6 +491,13 @@
 warn("%s not implemented.\n", __PRETTY_FUNCTION__);
 }

+bool
+timed_out()
+{
+warn("%s not implemented.\n", __PRETTY_FUNCTION__);
+return false;
+}
+

 void
 wait()
diff --git a/src/systemc/core/sc_prim.cc b/src/systemc/core/sc_prim.cc
index 0daf317..4b5cf17 100644
--- a/src/systemc/core/sc_prim.cc
+++ b/src/systemc/core/sc_prim.cc
@@ -134,6 +134,13 @@
 warn("%s not implemented.\n", __PRETTY_FUNCTION__);
 }

+bool
+sc_prim_channel::timed_out()
+{
+warn("%s not implemented.\n", __PRETTY_FUNCTION__);
+return false;
+}
+
 void
 sc_prim_channel::wait()
 {
diff --git a/src/systemc/ext/core/sc_module.hh  
b/src/systemc/ext/core/sc_module.hh

index ffb1a3c..7891961 100644
--- a/src/systemc/ext/core/sc_module.hh
+++ b/src/systemc/ext/core/sc_module.hh
@@ -183,6 +183,9 @@
 void next_trigger(const sc_time &, const sc_event_and_list &);
 void next_trigger(double, sc_time_unit, const sc_event_and_list &);

+// Nonstandard
+bool timed_out();
+
 void wait();
 void wait(int);
 void wait(const sc_event &);
@@ -242,6 +245,9 @@
 void wait(const sc_time &, const sc_event_and_list &);
 void wait(double, sc_time_unit, const sc_event_and_list &);

+// Nonstandard
+bool timed_out();
+
 #define SC_MODULE(name) struct name : ::sc_core::sc_module

 #define SC_CTOR(name) \
diff --git a/src/systemc/ext/core/sc_prim.hh  
b/src/systemc/ext/core/sc_prim.hh

index d6265cb..2348f45 100644
--- a/src/systemc/ext/core/sc_prim.hh
+++ b/src/systemc/ext/core/sc_prim.hh
@@ -67,6 +67,9 @@
 void next_trigger(const sc_time &, const sc_event_and_list &);
 void next_trigger(double, sc_time_unit, const sc_event_and_list &);

+// Nonstandard.
+bool timed_out();
+
 void wait();
 void wait(int);
 void wait(const sc_event &);

--
To view, visit https://gem5-review.googlesource.com/11279
To unsubscribe, or for help writing mail filters, visit  
https://gem5-review.googlesource.com/settings


Gerrit-Project: public/gem5
Gerrit-Branch: master
Gerrit-Change-Id: If14a5f98f03448c712827b7f92d2a36992541518
Gerrit-Change-Number: 11279
Gerrit-PatchSet: 1
Gerrit-Owner: Gabe Black 
Gerrit-MessageType: newchange
___
gem5-dev mailing list
gem5-dev@gem5.org
http://m5sim.org/mailman/listinfo/gem5-dev

[gem5-dev] Change in gem5/gem5[master]: systemc: Add some common test include files.

2018-06-16 Thread Gabe Black (Gerrit)
Gabe Black has uploaded this change for review. (  
https://gem5-review.googlesource.com/11272



Change subject: systemc: Add some common test include files.
..

systemc: Add some common test include files.

These are "common" in the sense that they're not in a particular test
directory, but I think they're only used by one test.

Change-Id: I4ffd209d04ed0e5253085810913827b87412b302
---
M src/systemc/tests/SConscript
A src/systemc/tests/include/CoreDecouplingLTInitiator.h
A src/systemc/tests/include/ExplicitATTarget.h
A src/systemc/tests/include/ExplicitLTTarget.h
A src/systemc/tests/include/README.txt
A src/systemc/tests/include/SimpleATInitiator1.h
A src/systemc/tests/include/SimpleATInitiator2.h
A src/systemc/tests/include/SimpleATTarget1.h
A src/systemc/tests/include/SimpleATTarget2.h
A src/systemc/tests/include/SimpleBusAT.h
A src/systemc/tests/include/SimpleBusLT.h
A src/systemc/tests/include/SimpleLTInitiator1.h
A src/systemc/tests/include/SimpleLTInitiator1_DMI.h
A src/systemc/tests/include/SimpleLTInitiator2.h
A src/systemc/tests/include/SimpleLTInitiator2_DMI.h
A src/systemc/tests/include/SimpleLTInitiator3.h
A src/systemc/tests/include/SimpleLTInitiator3_DMI.h
A src/systemc/tests/include/SimpleLTTarget1.h
A src/systemc/tests/include/SimpleLTTarget2.h
A src/systemc/tests/include/specialized_signals/scx_signal_int.h
A src/systemc/tests/include/specialized_signals/scx_signal_signed.h
A src/systemc/tests/include/specialized_signals/scx_signal_uint.h
A src/systemc/tests/include/specialized_signals/scx_signal_unsigned.h
23 files changed, 10,751 insertions(+), 0 deletions(-)




--
To view, visit https://gem5-review.googlesource.com/11272
To unsubscribe, or for help writing mail filters, visit  
https://gem5-review.googlesource.com/settings


Gerrit-Project: public/gem5
Gerrit-Branch: master
Gerrit-Change-Id: I4ffd209d04ed0e5253085810913827b87412b302
Gerrit-Change-Number: 11272
Gerrit-PatchSet: 1
Gerrit-Owner: Gabe Black 
Gerrit-MessageType: newchange
___
gem5-dev mailing list
gem5-dev@gem5.org
http://m5sim.org/mailman/listinfo/gem5-dev

[gem5-dev] Change in gem5/gem5[master]: systemc: Make some functions static in conflict with the spec.

2018-06-16 Thread Gabe Black (Gerrit)
Gabe Black has uploaded this change for review. (  
https://gem5-review.googlesource.com/11282



Change subject: systemc: Make some functions static in conflict with the  
spec.

..

systemc: Make some functions static in conflict with the spec.

The spec is likely wrong in this case since a lot of the other
neighboring functions are static, and the Accellera implementation and
the tests seem to assume they're static.

Change-Id: Ia12a3735497b50f8a2419a52c83ef256416e7bc5
---
M src/systemc/ext/utils/sc_report_handler.hh
1 file changed, 5 insertions(+), 2 deletions(-)



diff --git a/src/systemc/ext/utils/sc_report_handler.hh  
b/src/systemc/ext/utils/sc_report_handler.hh

index f6e32b9..33c2499 100644
--- a/src/systemc/ext/utils/sc_report_handler.hh
+++ b/src/systemc/ext/utils/sc_report_handler.hh
@@ -89,8 +89,11 @@
 static int get_count(const char *msg_type);
 static int get_count(const char *msg_type, sc_severity);

-int set_verbosity_level(int);
-int get_verbosity_level();
+// Nonstandard
+// In the spec, these aren't listed as static functions. They are  
static in

+// the Accellera implementation and are used as such in the tests.
+static int set_verbosity_level(int);
+static int get_verbosity_level();

 static sc_actions suppress(sc_actions);
 static sc_actions suppress();

--
To view, visit https://gem5-review.googlesource.com/11282
To unsubscribe, or for help writing mail filters, visit  
https://gem5-review.googlesource.com/settings


Gerrit-Project: public/gem5
Gerrit-Branch: master
Gerrit-Change-Id: Ia12a3735497b50f8a2419a52c83ef256416e7bc5
Gerrit-Change-Number: 11282
Gerrit-PatchSet: 1
Gerrit-Owner: Gabe Black 
Gerrit-MessageType: newchange
___
gem5-dev mailing list
gem5-dev@gem5.org
http://m5sim.org/mailman/listinfo/gem5-dev

[gem5-dev] Change in gem5/gem5[master]: systemc: Add some deprecated sc_module constructors.

2018-06-16 Thread Gabe Black (Gerrit)
Gabe Black has uploaded this change for review. (  
https://gem5-review.googlesource.com/11278



Change subject: systemc: Add some deprecated sc_module constructors.
..

systemc: Add some deprecated sc_module constructors.

Change-Id: Ibe2bfe63536af33fca6040f4aef999ee928d876b
---
M src/systemc/core/sc_module.cc
M src/systemc/ext/core/sc_module.hh
2 files changed, 14 insertions(+), 0 deletions(-)



diff --git a/src/systemc/core/sc_module.cc b/src/systemc/core/sc_module.cc
index a461022..b4fd292 100644
--- a/src/systemc/core/sc_module.cc
+++ b/src/systemc/core/sc_module.cc
@@ -155,6 +155,16 @@
 warn("%s not implemented.\n", __PRETTY_FUNCTION__);
 }

+sc_module::sc_module(const char *)
+{
+warn("%s not implemented.\n", __PRETTY_FUNCTION__);
+}
+
+sc_module::sc_module(const std::string &)
+{
+warn("%s not implemented.\n", __PRETTY_FUNCTION__);
+}
+
 void
 sc_module::reset_signal_is(const sc_in &, bool)
 {
diff --git a/src/systemc/ext/core/sc_module.hh  
b/src/systemc/ext/core/sc_module.hh

index 25053df..ffb1a3c 100644
--- a/src/systemc/ext/core/sc_module.hh
+++ b/src/systemc/ext/core/sc_module.hh
@@ -148,6 +148,10 @@
 sc_module(const sc_module_name &);
 sc_module();

+// Deprecated
+sc_module(const char *);
+sc_module(const std::string &);
+
 /* Deprecated, but used in the regression tests. */
 void end_module() {}


--
To view, visit https://gem5-review.googlesource.com/11278
To unsubscribe, or for help writing mail filters, visit  
https://gem5-review.googlesource.com/settings


Gerrit-Project: public/gem5
Gerrit-Branch: master
Gerrit-Change-Id: Ibe2bfe63536af33fca6040f4aef999ee928d876b
Gerrit-Change-Number: 11278
Gerrit-PatchSet: 1
Gerrit-Owner: Gabe Black 
Gerrit-MessageType: newchange
___
gem5-dev mailing list
gem5-dev@gem5.org
http://m5sim.org/mailman/listinfo/gem5-dev

[gem5-dev] Change in gem5/gem5[master]: systemc: Disable the phase_callbacks set of tests.

2018-06-16 Thread Gabe Black (Gerrit)
Gabe Black has uploaded this change for review. (  
https://gem5-review.googlesource.com/11285



Change subject: systemc: Disable the phase_callbacks set of tests.
..

systemc: Disable the phase_callbacks set of tests.

This feature is experimental, nonstandard, and would in some cases be
difficult to implement in gem5.

Change-Id: Ic7fe77e6a2e9dbc5aba06579fb85fd2f055cb6b1
---
M src/systemc/tests/working.filt
1 file changed, 5 insertions(+), 0 deletions(-)



diff --git a/src/systemc/tests/working.filt b/src/systemc/tests/working.filt
index e28b74b..75cc837 100644
--- a/src/systemc/tests/working.filt
+++ b/src/systemc/tests/working.filt
@@ -12,6 +12,11 @@
 # something we're not currently planning to support.
 path.startswith("systemc/kernel/sc_process_b"),

+# Phase callbacks are an experimental feature. Also calling all the  
interested

+# sc_objects whenever time advances would add a non-trivial amount of
+# complexity and is probably not worth implementing in general.
+path.startswith("systemc/kernel/phase_callbacks/"),
+

 path in (
 # Uses sc_get_curr_simcontext.

--
To view, visit https://gem5-review.googlesource.com/11285
To unsubscribe, or for help writing mail filters, visit  
https://gem5-review.googlesource.com/settings


Gerrit-Project: public/gem5
Gerrit-Branch: master
Gerrit-Change-Id: Ic7fe77e6a2e9dbc5aba06579fb85fd2f055cb6b1
Gerrit-Change-Number: 11285
Gerrit-PatchSet: 1
Gerrit-Owner: Gabe Black 
Gerrit-MessageType: newchange
___
gem5-dev mailing list
gem5-dev@gem5.org
http://m5sim.org/mailman/listinfo/gem5-dev

[gem5-dev] Change in gem5/gem5[master]: systemc: Add the nonstandard sc_trace_params and sc_trace_params_vec.

2018-06-16 Thread Gabe Black (Gerrit)
Gabe Black has uploaded this change for review. (  
https://gem5-review.googlesource.com/11283



Change subject: systemc: Add the nonstandard sc_trace_params and  
sc_trace_params_vec.

..

systemc: Add the nonstandard sc_trace_params and sc_trace_params_vec.

These two types are supposedly only for internal use in the Accellera
implementation based on a big warning in all caps, but they still
appear in the tests and examples in that version of systemc.

Change-Id: Icfb3ffdf1e78988def5dac145172bf28f93d7d38
---
M src/systemc/ext/channel/sc_signal.hh
1 file changed, 18 insertions(+), 0 deletions(-)



diff --git a/src/systemc/ext/channel/sc_signal.hh  
b/src/systemc/ext/channel/sc_signal.hh

index a0b12b6..dea01a2 100644
--- a/src/systemc/ext/channel/sc_signal.hh
+++ b/src/systemc/ext/channel/sc_signal.hh
@@ -31,6 +31,8 @@
 #define __SYSTEMC_EXT_CHANNEL_SC_SIGNAL_HH__

 #include 
+#include 
+#include 

 #include "../core/sc_module.hh" // for sc_gen_unique_name
 #include "../core/sc_prim.hh"
@@ -41,6 +43,22 @@
 {

 class sc_port_base;
+class sc_trace_file;
+
+// Nonstandard
+// Despite having a warning "FOR INTERNAL USE ONLY!" in all caps above this
+// class definition in the Accellera implementation, it appears in their
+// examples and test programs, and so we need to have it here as well.
+struct sc_trace_params
+{
+sc_trace_file *tf;
+std::string name;
+
+sc_trace_params(sc_trace_file *tf, const std::string ) :
+tf(tf), name(name)
+{}
+};
+typedef std::vector sc_trace_params_vec;

 template 
 class sc_signal : public sc_signal_inout_if,

--
To view, visit https://gem5-review.googlesource.com/11283
To unsubscribe, or for help writing mail filters, visit  
https://gem5-review.googlesource.com/settings


Gerrit-Project: public/gem5
Gerrit-Branch: master
Gerrit-Change-Id: Icfb3ffdf1e78988def5dac145172bf28f93d7d38
Gerrit-Change-Number: 11283
Gerrit-PatchSet: 1
Gerrit-Owner: Gabe Black 
Gerrit-MessageType: newchange
___
gem5-dev mailing list
gem5-dev@gem5.org
http://m5sim.org/mailman/listinfo/gem5-dev

[gem5-dev] Change in gem5/gem5[master]: systemc: Disable a few more tests that aren't going to work.

2018-06-16 Thread Gabe Black (Gerrit)
Gabe Black has uploaded this change for review. (  
https://gem5-review.googlesource.com/11287



Change subject: systemc: Disable a few more tests that aren't going to work.
..

systemc: Disable a few more tests that aren't going to work.

One would never have worked as far as I can tell, and the others depend
on a feature that breaks building the systemc library.

Change-Id: Ia3db31a15675ca6df2db16362ee2d9c8700cd0af
---
M src/systemc/tests/working.filt
1 file changed, 10 insertions(+), 0 deletions(-)



diff --git a/src/systemc/tests/working.filt b/src/systemc/tests/working.filt
index 75cc837..9604768 100644
--- a/src/systemc/tests/working.filt
+++ b/src/systemc/tests/working.filt
@@ -33,6 +33,16 @@
 # This test declares a constructor it never defines, so it's not clear  
how

 # it would ever work?
 "systemc/misc/stars/star104726",
+
+# Another test which calls an undefined function.
+"systemc/misc/gnats/pr-480",
+
+# These tests rely on implicit FXVAL constructors based on primitive  
types,

+# but enabling that makes other operator overloads ambiguous so things
+# don't compile. It also seems dangerous to change what the headers  
look
+# like between the backing implementation and the consuming  
application.

+"systemc/datatypes/fx/fast_constructors",
+"systemc/datatypes/fx/constructors",
 ),

 ])

--
To view, visit https://gem5-review.googlesource.com/11287
To unsubscribe, or for help writing mail filters, visit  
https://gem5-review.googlesource.com/settings


Gerrit-Project: public/gem5
Gerrit-Branch: master
Gerrit-Change-Id: Ia3db31a15675ca6df2db16362ee2d9c8700cd0af
Gerrit-Change-Number: 11287
Gerrit-PatchSet: 1
Gerrit-Owner: Gabe Black 
Gerrit-MessageType: newchange
___
gem5-dev mailing list
gem5-dev@gem5.org
http://m5sim.org/mailman/listinfo/gem5-dev

[gem5-dev] Change in gem5/gem5[master]: systemc: Add deprecated sc_time constructors.

2018-06-16 Thread Gabe Black (Gerrit)
Gabe Black has uploaded this change for review. (  
https://gem5-review.googlesource.com/11275



Change subject: systemc: Add deprecated sc_time constructors.
..

systemc: Add deprecated sc_time constructors.

Change-Id: Iffae751272302ff2996258a1ab31b086e12bbb8d
---
M src/systemc/core/sc_time.cc
M src/systemc/ext/core/sc_time.hh
2 files changed, 14 insertions(+), 0 deletions(-)



diff --git a/src/systemc/core/sc_time.cc b/src/systemc/core/sc_time.cc
index 5d6b634..ebc637b 100644
--- a/src/systemc/core/sc_time.cc
+++ b/src/systemc/core/sc_time.cc
@@ -48,6 +48,16 @@
 warn("%s not implemented.\n", __PRETTY_FUNCTION__);
 }

+sc_time::sc_time(double, bool)
+{
+warn("%s not implemented.\n", __PRETTY_FUNCTION__);
+}
+
+sc_time::sc_time(sc_dt::uint64, bool)
+{
+warn("%s not implemented.\n", __PRETTY_FUNCTION__);
+}
+
 sc_time &
 sc_time::operator = (const sc_time &)
 {
diff --git a/src/systemc/ext/core/sc_time.hh  
b/src/systemc/ext/core/sc_time.hh

index d52ed81..ff71d70 100644
--- a/src/systemc/ext/core/sc_time.hh
+++ b/src/systemc/ext/core/sc_time.hh
@@ -55,6 +55,10 @@
 sc_time(double, sc_time_unit);
 sc_time(const sc_time &);

+// Deprecated
+sc_time(double, bool);
+sc_time(sc_dt::uint64, bool);
+
 sc_time  = (const sc_time &);

 sc_dt::uint64 value() const;

--
To view, visit https://gem5-review.googlesource.com/11275
To unsubscribe, or for help writing mail filters, visit  
https://gem5-review.googlesource.com/settings


Gerrit-Project: public/gem5
Gerrit-Branch: master
Gerrit-Change-Id: Iffae751272302ff2996258a1ab31b086e12bbb8d
Gerrit-Change-Number: 11275
Gerrit-PatchSet: 1
Gerrit-Owner: Gabe Black 
Gerrit-MessageType: newchange
___
gem5-dev mailing list
gem5-dev@gem5.org
http://m5sim.org/mailman/listinfo/gem5-dev

[gem5-dev] Change in gem5/gem5[master]: systemc: Add non-standard sc_status constants.

2018-06-16 Thread Gabe Black (Gerrit)
Gabe Black has uploaded this change for review. (  
https://gem5-review.googlesource.com/11276



Change subject: systemc: Add non-standard sc_status constants.
..

systemc: Add non-standard sc_status constants.

Change-Id: I02f52df318473906062035b188348fb875daad08
---
M src/systemc/ext/core/_using.hh
M src/systemc/ext/core/sc_main.hh
2 files changed, 11 insertions(+), 1 deletion(-)



diff --git a/src/systemc/ext/core/_using.hh b/src/systemc/ext/core/_using.hh
index 331e3dd..da82700 100644
--- a/src/systemc/ext/core/_using.hh
+++ b/src/systemc/ext/core/_using.hh
@@ -80,6 +80,10 @@
 using sc_core::SC_PAUSED;
 using sc_core::SC_STOPPED;
 using sc_core::SC_END_OF_SIMULATION;
+using sc_core::SC_END_OF_INITIALIZATION;
+using sc_core::SC_END_OF_UPDATE;
+using sc_core::SC_BEFORE_TIMESTEP;
+using sc_core::SC_STATUS_ANY;
 using sc_core::sc_status;

 using sc_core::sc_bind_proxy;
diff --git a/src/systemc/ext/core/sc_main.hh  
b/src/systemc/ext/core/sc_main.hh

index 24e9350..b6f5ea1 100644
--- a/src/systemc/ext/core/sc_main.hh
+++ b/src/systemc/ext/core/sc_main.hh
@@ -87,7 +87,13 @@
 SC_RUNNING = 0x10,
 SC_PAUSED = 0x20,
 SC_STOPPED = 0x40,
-SC_END_OF_SIMULATION = 0x80
+SC_END_OF_SIMULATION = 0x80,
+
+// Nonstandard
+SC_END_OF_INITIALIZATION = 0x100,
+SC_END_OF_UPDATE = 0x400,
+SC_BEFORE_TIMESTEP = 0x800,
+SC_STATUS_ANY = 0xdff
 };

 sc_status sc_get_status();

--
To view, visit https://gem5-review.googlesource.com/11276
To unsubscribe, or for help writing mail filters, visit  
https://gem5-review.googlesource.com/settings


Gerrit-Project: public/gem5
Gerrit-Branch: master
Gerrit-Change-Id: I02f52df318473906062035b188348fb875daad08
Gerrit-Change-Number: 11276
Gerrit-PatchSet: 1
Gerrit-Owner: Gabe Black 
Gerrit-MessageType: newchange
___
gem5-dev mailing list
gem5-dev@gem5.org
http://m5sim.org/mailman/listinfo/gem5-dev

[gem5-dev] Change in gem5/gem5[master]: sc_signal constructor fixup

2018-06-16 Thread Gabe Black (Gerrit)
Gabe Black has uploaded this change for review. (  
https://gem5-review.googlesource.com/11286



Change subject: sc_signal constructor fixup
..

sc_signal constructor fixup

Change-Id: I6db7a3df411bbd4c3932f1f16f18cbb5799870e7
---
M src/systemc/ext/channel/sc_signal.hh
1 file changed, 13 insertions(+), 0 deletions(-)



diff --git a/src/systemc/ext/channel/sc_signal.hh  
b/src/systemc/ext/channel/sc_signal.hh

index dea01a2..b41af56 100644
--- a/src/systemc/ext/channel/sc_signal.hh
+++ b/src/systemc/ext/channel/sc_signal.hh
@@ -186,6 +186,12 @@
 {
 sc_channel_warn_unimpl(__PRETTY_FUNCTION__);
 }
+explicit sc_signal(const char *name, const bool _value) :
+sc_signal_inout_if(), sc_prim_channel(name)
+{
+// Need to consume initial_value.
+sc_channel_warn_unimpl(__PRETTY_FUNCTION__);
+}
 virtual ~sc_signal()
 {
 sc_channel_warn_unimpl(__PRETTY_FUNCTION__);
@@ -316,6 +322,13 @@
 {
 sc_channel_warn_unimpl(__PRETTY_FUNCTION__);
 }
+explicit sc_signal(const char *name,
+const sc_dt::sc_logic _value) :
+sc_signal_inout_if(), sc_prim_channel(name)
+{
+// Need to consume initial_value.
+sc_channel_warn_unimpl(__PRETTY_FUNCTION__);
+}
 virtual ~sc_signal()
 {
 sc_channel_warn_unimpl(__PRETTY_FUNCTION__);

--
To view, visit https://gem5-review.googlesource.com/11286
To unsubscribe, or for help writing mail filters, visit  
https://gem5-review.googlesource.com/settings


Gerrit-Project: public/gem5
Gerrit-Branch: master
Gerrit-Change-Id: I6db7a3df411bbd4c3932f1f16f18cbb5799870e7
Gerrit-Change-Number: 11286
Gerrit-PatchSet: 1
Gerrit-Owner: Gabe Black 
Gerrit-MessageType: newchange
___
gem5-dev mailing list
gem5-dev@gem5.org
http://m5sim.org/mailman/listinfo/gem5-dev

[gem5-dev] Change in gem5/gem5[master]: systemc: Add an sc_event_finder::find_event method.

2018-06-16 Thread Gabe Black (Gerrit)
Gabe Black has uploaded this change for review. (  
https://gem5-review.googlesource.com/11274



Change subject: systemc: Add an sc_event_finder::find_event method.
..

systemc: Add an sc_event_finder::find_event method.

The guts of sc_event_finder are supposed to be implementation defined,
but the tests reach in and call this particular method on that class.

Change-Id: I21c18fa68ccce7bc1a13122ee3b452ecb81b713a
---
M src/systemc/ext/core/sc_event.hh
1 file changed, 12 insertions(+), 0 deletions(-)



diff --git a/src/systemc/ext/core/sc_event.hh  
b/src/systemc/ext/core/sc_event.hh

index 8eb1283..0b901ff 100644
--- a/src/systemc/ext/core/sc_event.hh
+++ b/src/systemc/ext/core/sc_event.hh
@@ -40,6 +40,7 @@
 class sc_event;
 class sc_event_and_expr;
 class sc_event_or_expr;
+class sc_interface;
 class sc_object;
 class sc_port_base;

@@ -47,6 +48,10 @@
 {
   protected:
 void warn_unimpl(const char *func) const;
+
+  public:
+// Should be "implementation defined" but used in the tests.
+virtual const sc_event _event(sc_interface *if_p=NULL) const = 0;
 };

 template 
@@ -58,6 +63,13 @@
 {
 warn_unimpl(__PRETTY_FUNCTION__);
 }
+
+const sc_event &
+find_event(sc_interface *if_p=NULL) const override
+{
+warn_unimpl(__PRETTY_FUNCTION__);
+return *(const sc_event *)nullptr;
+}
 };

 class sc_event_and_list

--
To view, visit https://gem5-review.googlesource.com/11274
To unsubscribe, or for help writing mail filters, visit  
https://gem5-review.googlesource.com/settings


Gerrit-Project: public/gem5
Gerrit-Branch: master
Gerrit-Change-Id: I21c18fa68ccce7bc1a13122ee3b452ecb81b713a
Gerrit-Change-Number: 11274
Gerrit-PatchSet: 1
Gerrit-Owner: Gabe Black 
Gerrit-MessageType: newchange
___
gem5-dev mailing list
gem5-dev@gem5.org
http://m5sim.org/mailman/listinfo/gem5-dev

[gem5-dev] Change in gem5/gem5[master]: systemc: Add some nonstandard (get|set)_catch_actions functions.

2018-06-16 Thread Gabe Black (Gerrit)
Gabe Black has uploaded this change for review. (  
https://gem5-review.googlesource.com/11265



Change subject: systemc: Add some nonstandard (get|set)_catch_actions  
functions.

..

systemc: Add some nonstandard (get|set)_catch_actions functions.

These are used by the tests.

Change-Id: I09bbe81854f0faa524a4c6aa0ef31d80e4a8de24
---
M src/systemc/ext/utils/sc_report_handler.hh
M src/systemc/utils/sc_report_handler.cc
2 files changed, 18 insertions(+), 0 deletions(-)



diff --git a/src/systemc/ext/utils/sc_report_handler.hh  
b/src/systemc/ext/utils/sc_report_handler.hh

index 6101e2b..aa0b1c9 100644
--- a/src/systemc/ext/utils/sc_report_handler.hh
+++ b/src/systemc/ext/utils/sc_report_handler.hh
@@ -95,6 +95,9 @@
 static sc_actions force(sc_actions);
 static sc_actions force();

+static sc_actions set_catch_actions(sc_actions);
+static sc_actions get_catch_actions();
+
 static void set_handler(sc_report_handler_proc);
 static void default_handler(const sc_report &, const sc_actions &);
 static sc_actions get_new_action_id();
diff --git a/src/systemc/utils/sc_report_handler.cc  
b/src/systemc/utils/sc_report_handler.cc

index 319851b..0faf995 100644
--- a/src/systemc/utils/sc_report_handler.cc
+++ b/src/systemc/utils/sc_report_handler.cc
@@ -161,6 +161,21 @@
 }


+sc_actions
+sc_report_handler::set_catch_actions(sc_actions)
+{
+warn("%s not implemented.\n", __PRETTY_FUNCTION__);
+return SC_UNSPECIFIED;
+}
+
+sc_actions
+sc_report_handler::get_catch_actions()
+{
+warn("%s not implemented.\n", __PRETTY_FUNCTION__);
+return SC_UNSPECIFIED;
+}
+
+
 void
 sc_report_handler::set_handler(sc_report_handler_proc)
 {

--
To view, visit https://gem5-review.googlesource.com/11265
To unsubscribe, or for help writing mail filters, visit  
https://gem5-review.googlesource.com/settings


Gerrit-Project: public/gem5
Gerrit-Branch: master
Gerrit-Change-Id: I09bbe81854f0faa524a4c6aa0ef31d80e4a8de24
Gerrit-Change-Number: 11265
Gerrit-PatchSet: 1
Gerrit-Owner: Gabe Black 
Gerrit-MessageType: newchange
___
gem5-dev mailing list
gem5-dev@gem5.org
http://m5sim.org/mailman/listinfo/gem5-dev

[gem5-dev] Change in gem5/gem5[master]: systemc: Add a filter-file option.

2018-06-16 Thread Gabe Black (Gerrit)
Gabe Black has uploaded this change for review. (  
https://gem5-review.googlesource.com/11257



Change subject: systemc: Add a filter-file option.
..

systemc: Add a filter-file option.

The --filter option is very useful, but can get to be long and complex
and a bit too cumbersome to use from the command line. This change
adds a --filter-file option which is mutually exclusive with --filter
and which reads the filter expression from a file instead of accepting
it on the command line.

Change-Id: I381c92ddf0d9fe62acd20432fa4868e2121405b8
---
M src/systemc/tests/verify.py
1 file changed, 23 insertions(+), 12 deletions(-)



diff --git a/src/systemc/tests/verify.py b/src/systemc/tests/verify.py
index 83ee614..a262baa 100755
--- a/src/systemc/tests/verify.py
+++ b/src/systemc/tests/verify.py
@@ -158,9 +158,13 @@
 parser.add_argument('--list', action='store_true',
 help='List the available tests')

-parser.add_argument('--filter', default='True',
-help='Python expression which filters tests based on '
-'their properties')
+filter_opts = parser.add_mutually_exclusive_group()
+filter_opts.add_argument('--filter', default='True',
+ help='Python expression which filters tests  
based '

+ 'on their properties')
+filter_opts.add_argument('--filter-file', default=None,
+ type=argparse.FileType('r'),
+ help='Same as --filter, but read from a file')

 def collect_phases(args):
 phase_groups = [list(g) for k, g in
@@ -196,20 +200,27 @@
 with open(json_path) as f:
 test_data = json.load(f)

+if main_args.filter_file:
+f = main_args.filter_file
+filt = compile(f.read(), f.name, 'eval')
+else:
+filt = compile(main_args.filter, '', 'eval')
+
+filtered_tests = {
+target: props for (target, props) in
+test_data.iteritems() if eval(filt, dict(props))
+}
+
 if main_args.list:
-for target, props in test_data.iteritems():
-if not eval(main_args.filter, dict(props)):
-continue
+for target, props in sorted(filtered_tests.iteritems()):
 print('%s.%s' % (target, main_args.flavor))
 for key, val in props.iteritems():
 print('%s: %s' % (key, val))
 else:
-tests_to_run = []
-for target, props in test_data.iteritems():
-if not eval(main_args.filter, props):
-continue
-tests_to_run.append(Test(target, main_args.flavor,
- main_args.build_dir, props))
+tests_to_run = list([
+Test(target, main_args.flavor, main_args.build_dir, props) for
+target, props in sorted(filtered_tests.iteritems())
+])

 for phase in phases:
 phase.run(tests_to_run)

--
To view, visit https://gem5-review.googlesource.com/11257
To unsubscribe, or for help writing mail filters, visit  
https://gem5-review.googlesource.com/settings


Gerrit-Project: public/gem5
Gerrit-Branch: master
Gerrit-Change-Id: I381c92ddf0d9fe62acd20432fa4868e2121405b8
Gerrit-Change-Number: 11257
Gerrit-PatchSet: 1
Gerrit-Owner: Gabe Black 
Gerrit-MessageType: newchange
___
gem5-dev mailing list
gem5-dev@gem5.org
http://m5sim.org/mailman/listinfo/gem5-dev

[gem5-dev] Change in gem5/gem5[master]: systemc: Add nonstandard sc_trace-s for sc_event and sc_time.

2018-06-16 Thread Gabe Black (Gerrit)
Gabe Black has uploaded this change for review. (  
https://gem5-review.googlesource.com/11259



Change subject: systemc: Add nonstandard sc_trace-s for sc_event and  
sc_time.

..

systemc: Add nonstandard sc_trace-s for sc_event and sc_time.

These are not in the standard but are defined by Accellera and are
used in the regression tests.

Change-Id: Idb8358530b1135526c52733e628461a17308e216
---
M src/systemc/ext/utils/sc_trace_file.hh
M src/systemc/utils/sc_trace_file.cc
2 files changed, 35 insertions(+), 0 deletions(-)



diff --git a/src/systemc/ext/utils/sc_trace_file.hh  
b/src/systemc/ext/utils/sc_trace_file.hh

index 9e9e75b..9281d47 100644
--- a/src/systemc/ext/utils/sc_trace_file.hh
+++ b/src/systemc/ext/utils/sc_trace_file.hh
@@ -58,6 +58,9 @@
 template 
 class sc_signal_in_if;

+class sc_event;
+class sc_time;
+
 class sc_trace_file
 {
   public:
@@ -108,6 +111,14 @@
   const std::string &);


+// Nonstandard
+// sc_trace overloads for sc_event and sc_time.
+void sc_trace(sc_trace_file *, const sc_event &, const std::string &);
+void sc_trace(sc_trace_file *, const sc_event *, const std::string &);
+void sc_trace(sc_trace_file *, const sc_time &, const std::string &);
+void sc_trace(sc_trace_file *, const sc_time *, const std::string &);
+
+
 // Nonstandard - unsigned versions necessary to avoid ambiguous overload
 // resolution.
 void sc_trace(sc_trace_file *, const unsigned char &,
diff --git a/src/systemc/utils/sc_trace_file.cc  
b/src/systemc/utils/sc_trace_file.cc

index 66f7fef..9cae922 100644
--- a/src/systemc/utils/sc_trace_file.cc
+++ b/src/systemc/utils/sc_trace_file.cc
@@ -221,6 +221,30 @@
 }

 void
+sc_trace(sc_trace_file *, const sc_event &, const std::string &)
+{
+warn("%s not implemented.\n", __PRETTY_FUNCTION__);
+}
+
+void
+sc_trace(sc_trace_file *, const sc_event *, const std::string &)
+{
+warn("%s not implemented.\n", __PRETTY_FUNCTION__);
+}
+
+void
+sc_trace(sc_trace_file *, const sc_time &, const std::string &)
+{
+warn("%s not implemented.\n", __PRETTY_FUNCTION__);
+}
+
+void
+sc_trace(sc_trace_file *, const sc_time *, const std::string &)
+{
+warn("%s not implemented.\n", __PRETTY_FUNCTION__);
+}
+
+void
 sc_trace(sc_trace_file *, const unsigned char &,
  const std::string &, int width)
 {

--
To view, visit https://gem5-review.googlesource.com/11259
To unsubscribe, or for help writing mail filters, visit  
https://gem5-review.googlesource.com/settings


Gerrit-Project: public/gem5
Gerrit-Branch: master
Gerrit-Change-Id: Idb8358530b1135526c52733e628461a17308e216
Gerrit-Change-Number: 11259
Gerrit-PatchSet: 1
Gerrit-Owner: Gabe Black 
Gerrit-MessageType: newchange
___
gem5-dev mailing list
gem5-dev@gem5.org
http://m5sim.org/mailman/listinfo/gem5-dev

[gem5-dev] Change in gem5/gem5[master]: systemc: Stub out all the standard utilility classes and functions.

2018-06-16 Thread Gabe Black (Gerrit)

Hello Jason Lowe-Power, Matthias Jung, Andreas Sandberg,

I'd like you to reexamine a change. Please visit

https://gem5-review.googlesource.com/10841

to look at the new patch set (#4).

Change subject: systemc: Stub out all the standard utilility classes and  
functions.

..

systemc: Stub out all the standard utilility classes and functions.

Change-Id: I9e9724edb6281e0b0a6bae5546b0ede77d295c12
---
M src/systemc/ext/core/sc_process_handle.hh
M src/systemc/ext/systemc
M src/systemc/ext/systemc.h
A src/systemc/ext/utils/_using.hh
A src/systemc/ext/utils/_utils.hh
A src/systemc/ext/utils/endian.hh
A src/systemc/ext/utils/functions.hh
A src/systemc/ext/utils/sc_exception.hh
A src/systemc/ext/utils/sc_report.hh
A src/systemc/ext/utils/sc_report_handler.hh
A src/systemc/ext/utils/sc_trace_file.hh
A src/systemc/ext/utils/sc_vector.hh
A src/systemc/ext/utils/warn_unimpl.hh
A src/systemc/utils/SConscript
A src/systemc/utils/functions.cc
A src/systemc/utils/sc_report.cc
A src/systemc/utils/sc_report_handler.cc
A src/systemc/utils/sc_trace_file.cc
A src/systemc/utils/sc_vector.cc
A src/systemc/utils/warn_unimpl.cc
20 files changed, 2,080 insertions(+), 0 deletions(-)


--
To view, visit https://gem5-review.googlesource.com/10841
To unsubscribe, or for help writing mail filters, visit  
https://gem5-review.googlesource.com/settings


Gerrit-Project: public/gem5
Gerrit-Branch: master
Gerrit-Change-Id: I9e9724edb6281e0b0a6bae5546b0ede77d295c12
Gerrit-Change-Number: 10841
Gerrit-PatchSet: 4
Gerrit-Owner: Gabe Black 
Gerrit-Reviewer: Andreas Sandberg 
Gerrit-Reviewer: Gabe Black 
Gerrit-Reviewer: Jason Lowe-Power 
Gerrit-Reviewer: Matthias Jung 
Gerrit-MessageType: newpatchset
___
gem5-dev mailing list
gem5-dev@gem5.org
http://m5sim.org/mailman/listinfo/gem5-dev

[gem5-dev] Change in gem5/gem5[master]: systemc: Add some missing functions which interact with the scheduler.

2018-06-16 Thread Gabe Black (Gerrit)

Hello Jason Lowe-Power, Matthias Jung, Andreas Sandberg,

I'd like you to reexamine a change. Please visit

https://gem5-review.googlesource.com/10846

to look at the new patch set (#4).

Change subject: systemc: Add some missing functions which interact with the  
scheduler.

..

systemc: Add some missing functions which interact with the scheduler.

Change-Id: Ifc8c8d4a7bb6e941485e80f4884cfa4bb648c17c
---
M src/systemc/core/sc_main.cc
M src/systemc/ext/core/_using.hh
M src/systemc/ext/core/sc_main.hh
3 files changed, 179 insertions(+), 0 deletions(-)


--
To view, visit https://gem5-review.googlesource.com/10846
To unsubscribe, or for help writing mail filters, visit  
https://gem5-review.googlesource.com/settings


Gerrit-Project: public/gem5
Gerrit-Branch: master
Gerrit-Change-Id: Ifc8c8d4a7bb6e941485e80f4884cfa4bb648c17c
Gerrit-Change-Number: 10846
Gerrit-PatchSet: 4
Gerrit-Owner: Gabe Black 
Gerrit-Reviewer: Andreas Sandberg 
Gerrit-Reviewer: Gabe Black 
Gerrit-Reviewer: Jason Lowe-Power 
Gerrit-Reviewer: Matthias Jung 
Gerrit-MessageType: newpatchset
___
gem5-dev mailing list
gem5-dev@gem5.org
http://m5sim.org/mailman/listinfo/gem5-dev

[gem5-dev] Change in gem5/gem5[master]: systemc: Add a stubbed out sc_object class.

2018-06-16 Thread Gabe Black (Gerrit)

Hello Jason Lowe-Power, Matthias Jung, Giacomo Travaglini, Andreas Sandberg,

I'd like you to reexamine a change. Please visit

https://gem5-review.googlesource.com/10821

to look at the new patch set (#4).

Change subject: systemc: Add a stubbed out sc_object class.
..

systemc: Add a stubbed out sc_object class.

Also add a SConsopt variable USE_SYSTEMC to hide systemc support until
it's usable.

Change-Id: Ibb37483432b147ee690a36bb5c8dd74f1c4c7ae4
---
A src/systemc/SConscript
A src/systemc/SConsopts
A src/systemc/SystemC.py
A src/systemc/sc_object.cc
A src/systemc/sc_object.hh
5 files changed, 374 insertions(+), 0 deletions(-)


--
To view, visit https://gem5-review.googlesource.com/10821
To unsubscribe, or for help writing mail filters, visit  
https://gem5-review.googlesource.com/settings


Gerrit-Project: public/gem5
Gerrit-Branch: master
Gerrit-Change-Id: Ibb37483432b147ee690a36bb5c8dd74f1c4c7ae4
Gerrit-Change-Number: 10821
Gerrit-PatchSet: 4
Gerrit-Owner: Gabe Black 
Gerrit-Reviewer: Andreas Sandberg 
Gerrit-Reviewer: Gabe Black 
Gerrit-Reviewer: Giacomo Travaglini 
Gerrit-Reviewer: Jason Lowe-Power 
Gerrit-Reviewer: Matthias Jung 
Gerrit-MessageType: newpatchset
___
gem5-dev mailing list
gem5-dev@gem5.org
http://m5sim.org/mailman/listinfo/gem5-dev

[gem5-dev] Change in gem5/gem5[master]: systemc: Fill out some of the sc_vector.hh classes.

2018-06-16 Thread Gabe Black (Gerrit)

Hello Jason Lowe-Power, Matthias Jung, Andreas Sandberg,

I'd like you to reexamine a change. Please visit

https://gem5-review.googlesource.com/11177

to look at the new patch set (#2).

Change subject: systemc: Fill out some of the sc_vector.hh classes.
..

systemc: Fill out some of the sc_vector.hh classes.

The iterator class needs to have some operators, etc., defined so that
tests written against it can compile correctly. The implementations
were heavily influenced by the Accellera implementation.

Also it should be noted that the systemc spec is incorrect where it
defines these classes. When implemented like they are in the
Accellera version, the versions of bind in sc_vector_assembly which
take sc_vector::iterator and iterator parameters are different, and
so they can overload each other. If implemented as described by the
spec however, those types are the same and the code won't compile.

Change-Id: I62852adf7e6c0a2f3df076ba4e93d2501859c32d
---
M src/systemc/ext/utils/sc_vector.hh
1 file changed, 374 insertions(+), 62 deletions(-)


--
To view, visit https://gem5-review.googlesource.com/11177
To unsubscribe, or for help writing mail filters, visit  
https://gem5-review.googlesource.com/settings


Gerrit-Project: public/gem5
Gerrit-Branch: master
Gerrit-Change-Id: I62852adf7e6c0a2f3df076ba4e93d2501859c32d
Gerrit-Change-Number: 11177
Gerrit-PatchSet: 2
Gerrit-Owner: Gabe Black 
Gerrit-Reviewer: Andreas Sandberg 
Gerrit-Reviewer: Gabe Black 
Gerrit-Reviewer: Jason Lowe-Power 
Gerrit-Reviewer: Matthias Jung 
Gerrit-MessageType: newpatchset
___
gem5-dev mailing list
gem5-dev@gem5.org
http://m5sim.org/mailman/listinfo/gem5-dev

[gem5-dev] Change in gem5/gem5[master]: systemc: Exclude a test which probably could never compile?

2018-06-16 Thread Gabe Black (Gerrit)
Gabe Black has uploaded this change for review. (  
https://gem5-review.googlesource.com/11260



Change subject: systemc: Exclude a test which probably could never compile?
..

systemc: Exclude a test which probably could never compile?

Part of this test just seems to be missing, so I'm not sure how it
would ever actually work.

Change-Id: I5350fea1dfd288e34e01afcd3780b2ffc3312344
---
M src/systemc/tests/working.filt
1 file changed, 5 insertions(+), 1 deletion(-)



diff --git a/src/systemc/tests/working.filt b/src/systemc/tests/working.filt
index ff0dd17..e1014db 100644
--- a/src/systemc/tests/working.filt
+++ b/src/systemc/tests/working.filt
@@ -22,7 +22,11 @@
 "systemc/kernel/sc_main_main",

 # Tests the deprecated sc_string type which we aren't supporting.
-"systemc/bugs/sc_string_bracket_assign"
+"systemc/bugs/sc_string_bracket_assign",
+
+# This test declares a constructor it never defines, so it's not clear  
how

+# it would ever work?
+"systemc/misc/stars/star104726",
 ),

 ])

--
To view, visit https://gem5-review.googlesource.com/11260
To unsubscribe, or for help writing mail filters, visit  
https://gem5-review.googlesource.com/settings


Gerrit-Project: public/gem5
Gerrit-Branch: master
Gerrit-Change-Id: I5350fea1dfd288e34e01afcd3780b2ffc3312344
Gerrit-Change-Number: 11260
Gerrit-PatchSet: 1
Gerrit-Owner: Gabe Black 
Gerrit-MessageType: newchange
___
gem5-dev mailing list
gem5-dev@gem5.org
http://m5sim.org/mailman/listinfo/gem5-dev

[gem5-dev] Change in gem5/gem5[master]: systemc: Add stubbed out versions of sc_port and sc_export.

2018-06-16 Thread Gabe Black (Gerrit)

Hello Jason Lowe-Power, Matthias Jung, Andreas Sandberg,

I'd like you to reexamine a change. Please visit

https://gem5-review.googlesource.com/10829

to look at the new patch set (#4).

Change subject: systemc: Add stubbed out versions of sc_port and sc_export.
..

systemc: Add stubbed out versions of sc_port and sc_export.

Change-Id: I04edb5da995212f9179eeb7a97486031eea71aff
---
M src/systemc/SConscript
A src/systemc/sc_export.cc
A src/systemc/sc_export.hh
A src/systemc/sc_port.cc
A src/systemc/sc_port.hh
5 files changed, 381 insertions(+), 0 deletions(-)


--
To view, visit https://gem5-review.googlesource.com/10829
To unsubscribe, or for help writing mail filters, visit  
https://gem5-review.googlesource.com/settings


Gerrit-Project: public/gem5
Gerrit-Branch: master
Gerrit-Change-Id: I04edb5da995212f9179eeb7a97486031eea71aff
Gerrit-Change-Number: 10829
Gerrit-PatchSet: 4
Gerrit-Owner: Gabe Black 
Gerrit-Reviewer: Andreas Sandberg 
Gerrit-Reviewer: Gabe Black 
Gerrit-Reviewer: Jason Lowe-Power 
Gerrit-Reviewer: Matthias Jung 
Gerrit-MessageType: newpatchset
___
gem5-dev mailing list
gem5-dev@gem5.org
http://m5sim.org/mailman/listinfo/gem5-dev

[gem5-dev] Change in gem5/gem5[master]: systemc: Add deprecated default time unit management functions.

2018-06-16 Thread Gabe Black (Gerrit)
Gabe Black has uploaded this change for review. (  
https://gem5-review.googlesource.com/11270



Change subject: systemc: Add deprecated default time unit management  
functions.

..

systemc: Add deprecated default time unit management functions.

Having default time units is deprecated, and so are the functions that
manage them.

Change-Id: Ie21f9a5fca9868dd4f0adcd9f32c568fbec1fa72
---
M src/systemc/core/sc_time.cc
M src/systemc/ext/core/_using.hh
M src/systemc/ext/core/sc_time.hh
3 files changed, 19 insertions(+), 0 deletions(-)



diff --git a/src/systemc/core/sc_time.cc b/src/systemc/core/sc_time.cc
index 0228957..383d1d4 100644
--- a/src/systemc/core/sc_time.cc
+++ b/src/systemc/core/sc_time.cc
@@ -229,4 +229,17 @@
 return *(const sc_time *)nullptr;
 }

+void
+sc_set_default_time_unit(double, sc_time_unit)
+{
+warn("%s not implemented.\n", __PRETTY_FUNCTION__);
+}
+
+sc_time
+sc_get_default_time_unit()
+{
+warn("%s not implemented.\n", __PRETTY_FUNCTION__);
+return *(sc_time *)nullptr;
+}
+
 } // namespace sc_core
diff --git a/src/systemc/ext/core/_using.hh b/src/systemc/ext/core/_using.hh
index 32cbd70..331e3dd 100644
--- a/src/systemc/ext/core/_using.hh
+++ b/src/systemc/ext/core/_using.hh
@@ -140,5 +140,7 @@
 using sc_core::sc_set_time_resolution;
 using sc_core::sc_get_time_resolution;
 using sc_core::sc_max_time;
+using sc_core::sc_get_default_time_unit;
+using sc_core::sc_set_default_time_unit;

 #endif  //__SYSTEMC_EXT_CORE__USING_HH__
diff --git a/src/systemc/ext/core/sc_time.hh  
b/src/systemc/ext/core/sc_time.hh

index 456d59e..32f7ead 100644
--- a/src/systemc/ext/core/sc_time.hh
+++ b/src/systemc/ext/core/sc_time.hh
@@ -93,6 +93,10 @@
 sc_time sc_get_time_resolution();
 const sc_time _max_time();

+// Deprecated
+void sc_set_default_time_unit(double, sc_time_unit);
+sc_time sc_get_default_time_unit();
+
 } // namespace sc_core

 #endif  //__SYSTEMC_EXT_CORE_SC_TIME_HH__

--
To view, visit https://gem5-review.googlesource.com/11270
To unsubscribe, or for help writing mail filters, visit  
https://gem5-review.googlesource.com/settings


Gerrit-Project: public/gem5
Gerrit-Branch: master
Gerrit-Change-Id: Ie21f9a5fca9868dd4f0adcd9f32c568fbec1fa72
Gerrit-Change-Number: 11270
Gerrit-PatchSet: 1
Gerrit-Owner: Gabe Black 
Gerrit-MessageType: newchange
___
gem5-dev mailing list
gem5-dev@gem5.org
http://m5sim.org/mailman/listinfo/gem5-dev

[gem5-dev] Change in gem5/gem5[master]: systemc: Add the nonstandard macro SC_NEW.

2018-06-16 Thread Gabe Black (Gerrit)
Gabe Black has uploaded this change for review. (  
https://gem5-review.googlesource.com/11255



Change subject: systemc: Add the nonstandard macro SC_NEW.
..

systemc: Add the nonstandard macro SC_NEW.

This is in the Accellera implementation and in the regression tests.
The implementation here is a bit different than theirs in that it uses
std::unique_ptrs.

Change-Id: Id3d1ad82482b94a5d99f27e02d1e447ca1944797
---
M src/systemc/core/sc_module.cc
M src/systemc/ext/core/sc_module.hh
2 files changed, 17 insertions(+), 0 deletions(-)



diff --git a/src/systemc/core/sc_module.cc b/src/systemc/core/sc_module.cc
index 6bbb9dc..646916f 100644
--- a/src/systemc/core/sc_module.cc
+++ b/src/systemc/core/sc_module.cc
@@ -27,6 +27,9 @@
  * Authors: Gabe Black
  */

+#include 
+#include 
+
 #include "base/logging.hh"
 #include "systemc/ext/core/sc_module.hh"

@@ -539,4 +542,12 @@
 return false;
 }

+sc_module *
+sc_module_sc_new(sc_module *mod)
+{
+static std::vector > modules;
+modules.emplace_back(mod);
+return mod;
+}
+
 } // namespace sc_core
diff --git a/src/systemc/ext/core/sc_module.hh  
b/src/systemc/ext/core/sc_module.hh

index 0600aa6..68d2174 100644
--- a/src/systemc/ext/core/sc_module.hh
+++ b/src/systemc/ext/core/sc_module.hh
@@ -244,6 +244,12 @@
 bool sc_start_of_simulation_invoked();
 bool sc_end_of_simulation_invoked();

+// Nonstandard
+// Allocates a module of type x and records a pointer to it so that it gets
+// destructed automatically at the end of the simulation.
+sc_module *sc_module_sc_new(sc_module *);
+#define SC_NEW(x) ::sc_core::sc_module_sc_new(new x);
+
 } // namespace sc_core

 #endif  //__SYSTEMC_EXT_CORE_SC_MODULE_HH__

--
To view, visit https://gem5-review.googlesource.com/11255
To unsubscribe, or for help writing mail filters, visit  
https://gem5-review.googlesource.com/settings


Gerrit-Project: public/gem5
Gerrit-Branch: master
Gerrit-Change-Id: Id3d1ad82482b94a5d99f27e02d1e447ca1944797
Gerrit-Change-Number: 11255
Gerrit-PatchSet: 1
Gerrit-Owner: Gabe Black 
Gerrit-MessageType: newchange
___
gem5-dev mailing list
gem5-dev@gem5.org
http://m5sim.org/mailman/listinfo/gem5-dev

[gem5-dev] Change in gem5/gem5[master]: systemc: Define the nonstandard sc_event_queue_port typedef.

2018-06-16 Thread Gabe Black (Gerrit)
Gabe Black has uploaded this change for review. (  
https://gem5-review.googlesource.com/11262



Change subject: systemc: Define the nonstandard sc_event_queue_port typedef.
..

systemc: Define the nonstandard sc_event_queue_port typedef.

Used in the tests.

Change-Id: Ic4b4a313b2bd02e5cb3ec07c4f7ee79219728881
---
M src/systemc/ext/channel/_using.hh
M src/systemc/ext/channel/sc_event_queue.hh
2 files changed, 7 insertions(+), 0 deletions(-)



diff --git a/src/systemc/ext/channel/_using.hh  
b/src/systemc/ext/channel/_using.hh

index 307e89f..e582ea2 100644
--- a/src/systemc/ext/channel/_using.hh
+++ b/src/systemc/ext/channel/_using.hh
@@ -39,7 +39,9 @@
 using sc_core::sc_inout_clk;
 using sc_core::sc_out_clk;

+using sc_core::sc_event_queue_if;
 using sc_core::sc_event_queue;
+using sc_core::sc_event_queue_port;

 using sc_core::sc_fifo;

diff --git a/src/systemc/ext/channel/sc_event_queue.hh  
b/src/systemc/ext/channel/sc_event_queue.hh

index aa2f72e..1cd3c01 100644
--- a/src/systemc/ext/channel/sc_event_queue.hh
+++ b/src/systemc/ext/channel/sc_event_queue.hh
@@ -33,6 +33,7 @@
 #include "../core/sc_interface.hh"
 #include "../core/sc_module.hh" // for sc_gen_unique_name
 #include "../core/sc_module_name.hh"
+#include "../core/sc_port.hh"
 #include "../core/sc_time.hh"
 #include "warn_unimpl.hh"

@@ -65,6 +66,10 @@
 virtual const sc_event _event() const;
 };

+// Nonstandard
+typedef sc_port
+sc_event_queue_port;
+
 } // namespace sc_core

 #endif  //__SYSTEMC_EXT_CHANNEL_SC_EVENT_QUEUE_HH__

--
To view, visit https://gem5-review.googlesource.com/11262
To unsubscribe, or for help writing mail filters, visit  
https://gem5-review.googlesource.com/settings


Gerrit-Project: public/gem5
Gerrit-Branch: master
Gerrit-Change-Id: Ic4b4a313b2bd02e5cb3ec07c4f7ee79219728881
Gerrit-Change-Number: 11262
Gerrit-PatchSet: 1
Gerrit-Owner: Gabe Black 
Gerrit-MessageType: newchange
___
gem5-dev mailing list
gem5-dev@gem5.org
http://m5sim.org/mailman/listinfo/gem5-dev

[gem5-dev] Change in gem5/gem5[master]: systemc: Exclude another test which exercises sc_string.

2018-06-16 Thread Gabe Black (Gerrit)
Gabe Black has uploaded this change for review. (  
https://gem5-review.googlesource.com/11264



Change subject: systemc: Exclude another test which exercises sc_string.
..

systemc: Exclude another test which exercises sc_string.

Change-Id: Ic05fe3d34ef403dd6ad9348478f73c6ed50cc7bc
---
M src/systemc/tests/working.filt
1 file changed, 1 insertion(+), 0 deletions(-)



diff --git a/src/systemc/tests/working.filt b/src/systemc/tests/working.filt
index e1014db..e28b74b 100644
--- a/src/systemc/tests/working.filt
+++ b/src/systemc/tests/working.filt
@@ -23,6 +23,7 @@

 # Tests the deprecated sc_string type which we aren't supporting.
 "systemc/bugs/sc_string_bracket_assign",
+"systemc/misc/stars/star124010",

 # This test declares a constructor it never defines, so it's not clear  
how

 # it would ever work?

--
To view, visit https://gem5-review.googlesource.com/11264
To unsubscribe, or for help writing mail filters, visit  
https://gem5-review.googlesource.com/settings


Gerrit-Project: public/gem5
Gerrit-Branch: master
Gerrit-Change-Id: Ic05fe3d34ef403dd6ad9348478f73c6ed50cc7bc
Gerrit-Change-Number: 11264
Gerrit-PatchSet: 1
Gerrit-Owner: Gabe Black 
Gerrit-MessageType: newchange
___
gem5-dev mailing list
gem5-dev@gem5.org
http://m5sim.org/mailman/listinfo/gem5-dev

[gem5-dev] Change in gem5/gem5[master]: systemc: Add deprecated notify_delayed functions.

2018-06-16 Thread Gabe Black (Gerrit)
Gabe Black has uploaded this change for review. (  
https://gem5-review.googlesource.com/11269



Change subject: systemc: Add deprecated notify_delayed functions.
..

systemc: Add deprecated notify_delayed functions.

Change-Id: I8ec68920b35f9207b6856a0b1ddfdf7545b7148a
---
M src/systemc/core/sc_event.cc
M src/systemc/ext/core/sc_event.hh
2 files changed, 16 insertions(+), 0 deletions(-)



diff --git a/src/systemc/core/sc_event.cc b/src/systemc/core/sc_event.cc
index 32fdd0a..80d1027 100644
--- a/src/systemc/core/sc_event.cc
+++ b/src/systemc/core/sc_event.cc
@@ -284,6 +284,18 @@
 return false;
 }

+void
+sc_event::notify_delayed()
+{
+warn("%s not implemented.\n", __PRETTY_FUNCTION__);
+}
+
+void
+sc_event::notify_delayed(const sc_time &)
+{
+warn("%s not implemented.\n", __PRETTY_FUNCTION__);
+}
+
 sc_event_and_expr
 sc_event::operator & (const sc_event &) const
 {
diff --git a/src/systemc/ext/core/sc_event.hh  
b/src/systemc/ext/core/sc_event.hh

index d110b91..8eb1283 100644
--- a/src/systemc/ext/core/sc_event.hh
+++ b/src/systemc/ext/core/sc_event.hh
@@ -136,6 +136,10 @@
 // Returns whether this event is currently triggered.
 bool triggered() const;

+// Deprecated
+void notify_delayed();
+void notify_delayed(const sc_time &);
+
 sc_event_and_expr operator & (const sc_event &) const;
 sc_event_and_expr operator & (const sc_event_and_list &) const;
 sc_event_or_expr operator | (const sc_event &) const;

--
To view, visit https://gem5-review.googlesource.com/11269
To unsubscribe, or for help writing mail filters, visit  
https://gem5-review.googlesource.com/settings


Gerrit-Project: public/gem5
Gerrit-Branch: master
Gerrit-Change-Id: I8ec68920b35f9207b6856a0b1ddfdf7545b7148a
Gerrit-Change-Number: 11269
Gerrit-PatchSet: 1
Gerrit-Owner: Gabe Black 
Gerrit-MessageType: newchange
___
gem5-dev mailing list
gem5-dev@gem5.org
http://m5sim.org/mailman/listinfo/gem5-dev

[gem5-dev] Change in gem5/gem5[master]: systemc: Add a stub kernel SimObject.

2018-06-16 Thread Gabe Black (Gerrit)

Hello Jason Lowe-Power, Matthias Jung, Giacomo Travaglini, Andreas Sandberg,

I'd like you to reexamine a change. Please visit

https://gem5-review.googlesource.com/10822

to look at the new patch set (#4).

Change subject: systemc: Add a stub kernel SimObject.
..

systemc: Add a stub kernel SimObject.

The guts of this class will be added in later changes.

Change-Id: I3582c40f88f7d9ba6028a6f0a8ee5c32924a65bf
---
M src/systemc/SConscript
M src/systemc/SystemC.py
A src/systemc/kernel.cc
A src/systemc/kernel.hh
4 files changed, 104 insertions(+), 0 deletions(-)


--
To view, visit https://gem5-review.googlesource.com/10822
To unsubscribe, or for help writing mail filters, visit  
https://gem5-review.googlesource.com/settings


Gerrit-Project: public/gem5
Gerrit-Branch: master
Gerrit-Change-Id: I3582c40f88f7d9ba6028a6f0a8ee5c32924a65bf
Gerrit-Change-Number: 10822
Gerrit-PatchSet: 4
Gerrit-Owner: Gabe Black 
Gerrit-Reviewer: Andreas Sandberg 
Gerrit-Reviewer: Gabe Black 
Gerrit-Reviewer: Giacomo Travaglini 
Gerrit-Reviewer: Jason Lowe-Power 
Gerrit-Reviewer: Matthias Jung 
Gerrit-MessageType: newpatchset
___
gem5-dev mailing list
gem5-dev@gem5.org
http://m5sim.org/mailman/listinfo/gem5-dev

[gem5-dev] Change in gem5/gem5[master]: systemc: Add some deprecated sc_time factory methods.

2018-06-16 Thread Gabe Black (Gerrit)
Gabe Black has uploaded this change for review. (  
https://gem5-review.googlesource.com/11273



Change subject: systemc: Add some deprecated sc_time factory methods.
..

systemc: Add some deprecated sc_time factory methods.

Change-Id: I0d9a7040a48b9f0d0079e9daecaf44ea78c186de
---
M src/systemc/core/sc_time.cc
M src/systemc/ext/core/sc_time.hh
2 files changed, 26 insertions(+), 0 deletions(-)



diff --git a/src/systemc/core/sc_time.cc b/src/systemc/core/sc_time.cc
index 383d1d4..5d6b634 100644
--- a/src/systemc/core/sc_time.cc
+++ b/src/systemc/core/sc_time.cc
@@ -158,6 +158,27 @@
 warn("%s not implemented.\n", __PRETTY_FUNCTION__);
 }

+sc_time
+sc_time::from_value(sc_dt::uint64)
+{
+warn("%s not implemented.\n", __PRETTY_FUNCTION__);
+return sc_time();
+}
+
+sc_time
+sc_time::from_seconds(double)
+{
+warn("%s not implemented.\n", __PRETTY_FUNCTION__);
+return sc_time();
+}
+
+sc_time
+sc_time::from_string(const char *str)
+{
+warn("%s not implemented.\n", __PRETTY_FUNCTION__);
+return sc_time();
+}
+
 const sc_time
 operator + (const sc_time &, const sc_time &)
 {
diff --git a/src/systemc/ext/core/sc_time.hh  
b/src/systemc/ext/core/sc_time.hh

index 32f7ead..d52ed81 100644
--- a/src/systemc/ext/core/sc_time.hh
+++ b/src/systemc/ext/core/sc_time.hh
@@ -75,6 +75,11 @@
 sc_time  /= (double);

 void print(std::ostream & =std::cout) const;
+
+// Deprecated
+static sc_time from_value(sc_dt::uint64);
+static sc_time from_seconds(double);
+static sc_time from_string(const char *str);
 };

 const sc_time operator + (const sc_time &, const sc_time &);

--
To view, visit https://gem5-review.googlesource.com/11273
To unsubscribe, or for help writing mail filters, visit  
https://gem5-review.googlesource.com/settings


Gerrit-Project: public/gem5
Gerrit-Branch: master
Gerrit-Change-Id: I0d9a7040a48b9f0d0079e9daecaf44ea78c186de
Gerrit-Change-Number: 11273
Gerrit-PatchSet: 1
Gerrit-Owner: Gabe Black 
Gerrit-MessageType: newchange
___
gem5-dev mailing list
gem5-dev@gem5.org
http://m5sim.org/mailman/listinfo/gem5-dev

[gem5-dev] Change in gem5/gem5[master]: systemc: Seperate the "external" header interface.

2018-06-16 Thread Gabe Black (Gerrit)

Hello Jason Lowe-Power, Matthias Jung, Andreas Sandberg,

I'd like you to reexamine a change. Please visit

https://gem5-review.googlesource.com/10835

to look at the new patch set (#4).

Change subject: systemc: Seperate the "external" header interface.
..

systemc: Seperate the "external" header interface.

Most (but not all) of the SystemC headers are part of the "external"
interface that an existing, standard compliant module would include
through  or . Since those follow slightly different
rules (relative includes, no gem5 includes), this change separates them
out so that they're easier to identify.

Also, this change moves the other files into a "core" subdirectory,
with the intention to add a "dt", aka data type, directory some time in
the future when those standard defined types are implemented.

Change-Id: Ida63f9cc0bc0431024d4dd691cc5b22b944a99a8
---
R src/systemc/core/SConscript
R src/systemc/core/SystemC.py
R src/systemc/core/kernel.cc
R src/systemc/core/kernel.hh
R src/systemc/core/sc_attr.cc
R src/systemc/core/sc_event.cc
R src/systemc/core/sc_export.cc
R src/systemc/core/sc_interface.cc
R src/systemc/core/sc_main.cc
R src/systemc/core/sc_module.cc
R src/systemc/core/sc_module_name.cc
R src/systemc/core/sc_object.cc
R src/systemc/core/sc_port.cc
R src/systemc/core/sc_prim.cc
R src/systemc/core/sc_sensitive.cc
R src/systemc/core/sc_time.cc
R src/systemc/ext/core/sc_attr.hh
R src/systemc/ext/core/sc_event.hh
R src/systemc/ext/core/sc_export.hh
R src/systemc/ext/core/sc_interface.hh
R src/systemc/ext/core/sc_main.hh
R src/systemc/ext/core/sc_module.hh
R src/systemc/ext/core/sc_module_name.hh
R src/systemc/ext/core/sc_object.hh
R src/systemc/ext/core/sc_port.hh
R src/systemc/ext/core/sc_prim.hh
R src/systemc/ext/core/sc_sensitive.hh
R src/systemc/ext/core/sc_time.hh
R src/systemc/ext/dt/int/sc_nbdefs.hh
29 files changed, 52 insertions(+), 64 deletions(-)


--
To view, visit https://gem5-review.googlesource.com/10835
To unsubscribe, or for help writing mail filters, visit  
https://gem5-review.googlesource.com/settings


Gerrit-Project: public/gem5
Gerrit-Branch: master
Gerrit-Change-Id: Ida63f9cc0bc0431024d4dd691cc5b22b944a99a8
Gerrit-Change-Number: 10835
Gerrit-PatchSet: 4
Gerrit-Owner: Gabe Black 
Gerrit-Reviewer: Andreas Sandberg 
Gerrit-Reviewer: Gabe Black 
Gerrit-Reviewer: Jason Lowe-Power 
Gerrit-Reviewer: Matthias Jung 
Gerrit-MessageType: newpatchset
___
gem5-dev mailing list
gem5-dev@gem5.org
http://m5sim.org/mailman/listinfo/gem5-dev

[gem5-dev] Change in gem5/gem5[master]: systemc: Add stubbed out versions of sc_event and related classes.

2018-06-16 Thread Gabe Black (Gerrit)

Hello Jason Lowe-Power, Matthias Jung, Andreas Sandberg,

I'd like you to reexamine a change. Please visit

https://gem5-review.googlesource.com/10828

to look at the new patch set (#4).

Change subject: systemc: Add stubbed out versions of sc_event and related  
classes.

..

systemc: Add stubbed out versions of sc_event and related classes.

Change-Id: Id45c80cbb8774d8469d4df6ce7915161df977de0
---
M src/systemc/SConscript
A src/systemc/sc_event.cc
A src/systemc/sc_event.hh
3 files changed, 452 insertions(+), 0 deletions(-)


--
To view, visit https://gem5-review.googlesource.com/10828
To unsubscribe, or for help writing mail filters, visit  
https://gem5-review.googlesource.com/settings


Gerrit-Project: public/gem5
Gerrit-Branch: master
Gerrit-Change-Id: Id45c80cbb8774d8469d4df6ce7915161df977de0
Gerrit-Change-Number: 10828
Gerrit-PatchSet: 4
Gerrit-Owner: Gabe Black 
Gerrit-Reviewer: Andreas Sandberg 
Gerrit-Reviewer: Gabe Black 
Gerrit-Reviewer: Jason Lowe-Power 
Gerrit-Reviewer: Matthias Jung 
Gerrit-MessageType: newpatchset
___
gem5-dev mailing list
gem5-dev@gem5.org
http://m5sim.org/mailman/listinfo/gem5-dev

[gem5-dev] Change in gem5/gem5[master]: systemc: Partially implement the sc_module_name class.

2018-06-16 Thread Gabe Black (Gerrit)

Hello Jason Lowe-Power, Matthias Jung, Andreas Sandberg,

I'd like you to reexamine a change. Please visit

https://gem5-review.googlesource.com/10823

to look at the new patch set (#4).

Change subject: systemc: Partially implement the sc_module_name class.
..

systemc: Partially implement the sc_module_name class.

This class is mostly implemented as defined by the spec, except that
it doesn't maintain the module name stack (which doesn't yet exist).

Change-Id: I05fdc4aa40fb0497b0165824baee87ebf01a7821
---
M src/systemc/SConscript
A src/systemc/sc_module_name.cc
A src/systemc/sc_module_name.hh
3 files changed, 117 insertions(+), 0 deletions(-)


--
To view, visit https://gem5-review.googlesource.com/10823
To unsubscribe, or for help writing mail filters, visit  
https://gem5-review.googlesource.com/settings


Gerrit-Project: public/gem5
Gerrit-Branch: master
Gerrit-Change-Id: I05fdc4aa40fb0497b0165824baee87ebf01a7821
Gerrit-Change-Number: 10823
Gerrit-PatchSet: 4
Gerrit-Owner: Gabe Black 
Gerrit-Reviewer: Andreas Sandberg 
Gerrit-Reviewer: Gabe Black 
Gerrit-Reviewer: Jason Lowe-Power 
Gerrit-Reviewer: Matthias Jung 
Gerrit-CC: Giacomo Travaglini 
Gerrit-MessageType: newpatchset
___
gem5-dev mailing list
gem5-dev@gem5.org
http://m5sim.org/mailman/listinfo/gem5-dev

[gem5-dev] Change in gem5/gem5[master]: systemc: Add the nonstandard, undocumented halt function.

2018-06-16 Thread Gabe Black (Gerrit)
Gabe Black has uploaded this change for review. (  
https://gem5-review.googlesource.com/11263



Change subject: systemc: Add the nonstandard, undocumented halt function.
..

systemc: Add the nonstandard, undocumented halt function.

Used in the tests.

Change-Id: I9b4f10600a50e0def1b5d55428cb4ad49e401295
---
M src/systemc/core/sc_module.cc
M src/systemc/ext/core/_using.hh
M src/systemc/ext/core/sc_module.hh
3 files changed, 22 insertions(+), 0 deletions(-)



diff --git a/src/systemc/core/sc_module.cc b/src/systemc/core/sc_module.cc
index 646916f..7e6b059 100644
--- a/src/systemc/core/sc_module.cc
+++ b/src/systemc/core/sc_module.cc
@@ -371,6 +371,13 @@


 void
+sc_module::halt()
+{
+warn("%s not implemented.\n", __PRETTY_FUNCTION__);
+}
+
+
+void
 next_trigger()
 {
 warn("%s not implemented.\n", __PRETTY_FUNCTION__);
@@ -521,6 +528,12 @@
 warn("%s not implemented.\n", __PRETTY_FUNCTION__);
 }

+void
+halt()
+{
+warn("%s not implemented.\n", __PRETTY_FUNCTION__);
+}
+
 const char *
 sc_gen_unique_name(const char *)
 {
diff --git a/src/systemc/ext/core/_using.hh b/src/systemc/ext/core/_using.hh
index 5f5a23a..32cbd70 100644
--- a/src/systemc/ext/core/_using.hh
+++ b/src/systemc/ext/core/_using.hh
@@ -87,6 +87,7 @@
 using sc_core::sc_module;
 using sc_core::next_trigger;
 using sc_core::wait;
+using sc_core::halt;
 using sc_core::sc_gen_unique_name;
 using sc_core::sc_behavior;
 using sc_core::sc_channel;
diff --git a/src/systemc/ext/core/sc_module.hh  
b/src/systemc/ext/core/sc_module.hh

index c83cf1d..931eb3a 100644
--- a/src/systemc/ext/core/sc_module.hh
+++ b/src/systemc/ext/core/sc_module.hh
@@ -186,6 +186,8 @@
 void wait(const sc_time &, const sc_event_and_list &);
 void wait(double, sc_time_unit, const sc_event_and_list &);

+void halt();
+
 virtual void before_end_of_elaboration() {}
 virtual void end_of_elaboration() {}
 virtual void start_of_simulation() {}
@@ -236,6 +238,12 @@
 #define SC_THREAD(name) /* Implementation defined */
 #define SC_CTHREAD(name, clk) /* Implementation defined */

+// Nonstandard
+// Documentation for this is very scarce, but it looks like it's supposed  
to
+// stop the currently executing cthread, or if a cthread isn't running  
report

+// an error.
+void halt();
+
 const char *sc_gen_unique_name(const char *);

 typedef sc_module sc_behavior;

--
To view, visit https://gem5-review.googlesource.com/11263
To unsubscribe, or for help writing mail filters, visit  
https://gem5-review.googlesource.com/settings


Gerrit-Project: public/gem5
Gerrit-Branch: master
Gerrit-Change-Id: I9b4f10600a50e0def1b5d55428cb4ad49e401295
Gerrit-Change-Number: 11263
Gerrit-PatchSet: 1
Gerrit-Owner: Gabe Black 
Gerrit-MessageType: newchange
___
gem5-dev mailing list
gem5-dev@gem5.org
http://m5sim.org/mailman/listinfo/gem5-dev

[gem5-dev] Change in gem5/gem5[master]: systemc: Add a filter file for the tests which are expected to work.

2018-06-16 Thread Gabe Black (Gerrit)
Gabe Black has uploaded this change for review. (  
https://gem5-review.googlesource.com/11258



Change subject: systemc: Add a filter file for the tests which are expected  
to work.

..

systemc: Add a filter file for the tests which are expected to work.

Not all of the tests this filter accepts actually work, but we haven't
yet explicitly decided not to try to make them tests work yet.

Recording this in a file makes test runs more repeateable, and creates
a place to record why certain tests or groups of tests are being
excluded.

Change-Id: I91d44b6500a3e8ff5d5808222ce03f138374cf8b
---
A src/systemc/tests/working.filt
1 file changed, 28 insertions(+), 0 deletions(-)



diff --git a/src/systemc/tests/working.filt b/src/systemc/tests/working.filt
new file mode 100644
index 000..ff0dd17
--- /dev/null
+++ b/src/systemc/tests/working.filt
@@ -0,0 +1,28 @@
+not any([
+
+# The sc_elab_and_sim mechanism is purposefully not supported.
+name == "sc_elab_and_sim",
+
+# The wif trace format is deprecated, and probably would take a non-trivial
+# amount of work to support.
+path.startswith("systemc/tracing/wif_trace"),
+path.startswith("systemc/misc/stars/wif_trace"),
+
+# These tests refers to sc_get_current_process_b which is a deprecated  
type and

+# something we're not currently planning to support.
+path.startswith("systemc/kernel/sc_process_b"),
+
+
+path in (
+# Uses sc_get_curr_simcontext.
+"systemc/kernel/sc_object_manager/test01",
+"systemc/kernel/sc_name_gen/test1",
+
+# Uses sc_elab_and_sim.
+"systemc/kernel/sc_main_main",
+
+# Tests the deprecated sc_string type which we aren't supporting.
+"systemc/bugs/sc_string_bracket_assign"
+),
+
+])

--
To view, visit https://gem5-review.googlesource.com/11258
To unsubscribe, or for help writing mail filters, visit  
https://gem5-review.googlesource.com/settings


Gerrit-Project: public/gem5
Gerrit-Branch: master
Gerrit-Change-Id: I91d44b6500a3e8ff5d5808222ce03f138374cf8b
Gerrit-Change-Number: 11258
Gerrit-PatchSet: 1
Gerrit-Owner: Gabe Black 
Gerrit-MessageType: newchange
___
gem5-dev mailing list
gem5-dev@gem5.org
http://m5sim.org/mailman/listinfo/gem5-dev

[gem5-dev] Change in gem5/gem5[master]: systemc: Add stubbed out versions of the sc_time functions.

2018-06-16 Thread Gabe Black (Gerrit)

Hello Jason Lowe-Power, Matthias Jung, Andreas Sandberg,

I'd like you to reexamine a change. Please visit

https://gem5-review.googlesource.com/10827

to look at the new patch set (#4).

Change subject: systemc: Add stubbed out versions of the sc_time functions.
..

systemc: Add stubbed out versions of the sc_time functions.

Change-Id: Ie7e3eac0382dc2ed861eaa9ea53ab11069812db8
---
M src/systemc/SConscript
A src/systemc/sc_time.cc
A src/systemc/sc_time.hh
3 files changed, 332 insertions(+), 0 deletions(-)


--
To view, visit https://gem5-review.googlesource.com/10827
To unsubscribe, or for help writing mail filters, visit  
https://gem5-review.googlesource.com/settings


Gerrit-Project: public/gem5
Gerrit-Branch: master
Gerrit-Change-Id: Ie7e3eac0382dc2ed861eaa9ea53ab11069812db8
Gerrit-Change-Number: 10827
Gerrit-PatchSet: 4
Gerrit-Owner: Gabe Black 
Gerrit-Reviewer: Andreas Sandberg 
Gerrit-Reviewer: Gabe Black 
Gerrit-Reviewer: Jason Lowe-Power 
Gerrit-Reviewer: Matthias Jung 
Gerrit-MessageType: newpatchset
___
gem5-dev mailing list
gem5-dev@gem5.org
http://m5sim.org/mailman/listinfo/gem5-dev

[gem5-dev] Change in gem5/gem5[master]: systemc: Add some nonstandard cthread related sc_module functions.

2018-06-16 Thread Gabe Black (Gerrit)
Gabe Black has uploaded this change for review. (  
https://gem5-review.googlesource.com/11268



Change subject: systemc: Add some nonstandard cthread related sc_module  
functions.

..

systemc: Add some nonstandard cthread related sc_module functions.

Change-Id: I73f9868b80f9b75e7bd90df6e894daea60a203a1
---
M src/systemc/core/sc_module.cc
M src/systemc/ext/core/sc_module.hh
2 files changed, 64 insertions(+), 0 deletions(-)



diff --git a/src/systemc/core/sc_module.cc b/src/systemc/core/sc_module.cc
index 7e6b059..a461022 100644
--- a/src/systemc/core/sc_module.cc
+++ b/src/systemc/core/sc_module.cc
@@ -376,6 +376,30 @@
 warn("%s not implemented.\n", __PRETTY_FUNCTION__);
 }

+void
+sc_module::at_posedge(const sc_signal_in_if &)
+{
+warn("%s not implemented.\n", __PRETTY_FUNCTION__);
+}
+
+void
+sc_module::at_posedge(const sc_signal_in_if &)
+{
+warn("%s not implemented.\n", __PRETTY_FUNCTION__);
+}
+
+void
+sc_module::at_negedge(const sc_signal_in_if &)
+{
+warn("%s not implemented.\n", __PRETTY_FUNCTION__);
+}
+
+void
+sc_module::at_negedge(const sc_signal_in_if &)
+{
+warn("%s not implemented.\n", __PRETTY_FUNCTION__);
+}
+

 void
 next_trigger()
@@ -534,6 +558,30 @@
 warn("%s not implemented.\n", __PRETTY_FUNCTION__);
 }

+void
+at_posedge(const sc_signal_in_if &)
+{
+warn("%s not implemented.\n", __PRETTY_FUNCTION__);
+}
+
+void
+at_posedge(const sc_signal_in_if &)
+{
+warn("%s not implemented.\n", __PRETTY_FUNCTION__);
+}
+
+void
+at_negedge(const sc_signal_in_if &)
+{
+warn("%s not implemented.\n", __PRETTY_FUNCTION__);
+}
+
+void
+at_negedge(const sc_signal_in_if &)
+{
+warn("%s not implemented.\n", __PRETTY_FUNCTION__);
+}
+
 const char *
 sc_gen_unique_name(const char *)
 {
diff --git a/src/systemc/ext/core/sc_module.hh  
b/src/systemc/ext/core/sc_module.hh

index 931eb3a..25053df 100644
--- a/src/systemc/ext/core/sc_module.hh
+++ b/src/systemc/ext/core/sc_module.hh
@@ -36,6 +36,13 @@
 #include "sc_sensitive.hh"
 #include "sc_time.hh"

+namespace sc_dt
+{
+
+class sc_logic;
+
+} // namespace sc_dt
+
 namespace sc_core
 {

@@ -186,7 +193,12 @@
 void wait(const sc_time &, const sc_event_and_list &);
 void wait(double, sc_time_unit, const sc_event_and_list &);

+// Nonstandard
 void halt();
+void at_posedge(const sc_signal_in_if &);
+void at_posedge(const sc_signal_in_if &);
+void at_negedge(const sc_signal_in_if &);
+void at_negedge(const sc_signal_in_if &);

 virtual void before_end_of_elaboration() {}
 virtual void end_of_elaboration() {}
@@ -243,6 +255,10 @@
 // stop the currently executing cthread, or if a cthread isn't running  
report

 // an error.
 void halt();
+void at_posedge(const sc_signal_in_if &);
+void at_posedge(const sc_signal_in_if &);
+void at_negedge(const sc_signal_in_if &);
+void at_negedge(const sc_signal_in_if &);

 const char *sc_gen_unique_name(const char *);


--
To view, visit https://gem5-review.googlesource.com/11268
To unsubscribe, or for help writing mail filters, visit  
https://gem5-review.googlesource.com/settings


Gerrit-Project: public/gem5
Gerrit-Branch: master
Gerrit-Change-Id: I73f9868b80f9b75e7bd90df6e894daea60a203a1
Gerrit-Change-Number: 11268
Gerrit-PatchSet: 1
Gerrit-Owner: Gabe Black 
Gerrit-MessageType: newchange
___
gem5-dev mailing list
gem5-dev@gem5.org
http://m5sim.org/mailman/listinfo/gem5-dev

[gem5-dev] Change in gem5/gem5[master]: systemc: Add some nonstandard SC_WAIT* macros.

2018-06-16 Thread Gabe Black (Gerrit)
Gabe Black has uploaded this change for review. (  
https://gem5-review.googlesource.com/11261



Change subject: systemc: Add some nonstandard SC_WAIT* macros.
..

systemc: Add some nonstandard SC_WAIT* macros.

These are not in the standard, but are defined by Accellera and used in
the tests.

Change-Id: I4cbddac4eb75c58d8ae3eb77d1f291dac3c51cd6
---
M src/systemc/ext/core/sc_module.hh
1 file changed, 13 insertions(+), 0 deletions(-)



diff --git a/src/systemc/ext/core/sc_module.hh  
b/src/systemc/ext/core/sc_module.hh

index 68d2174..c83cf1d 100644
--- a/src/systemc/ext/core/sc_module.hh
+++ b/src/systemc/ext/core/sc_module.hh
@@ -250,6 +250,19 @@
 sc_module *sc_module_sc_new(sc_module *);
 #define SC_NEW(x) ::sc_core::sc_module_sc_new(new x);

+// Nonstandard
+// In the Accellera implementation, this macro calls sc_set_location to  
record
+// the current file and line, calls wait, and then calls it again to clear  
the

+// file and line. We'll ignore the sc_set_location calls for now.
+#define SC_WAIT() ::sc_core::wait();
+
+// Nonstandard
+// Same as above, but passes through an argument.
+#define SC_WAITN(n) ::sc_core::wait(n);
+
+// Nonstandard
+#define SC_WAIT_UNTIL(expr) do { SC_WAIT(); } while (!(expr))
+
 } // namespace sc_core

 #endif  //__SYSTEMC_EXT_CORE_SC_MODULE_HH__

--
To view, visit https://gem5-review.googlesource.com/11261
To unsubscribe, or for help writing mail filters, visit  
https://gem5-review.googlesource.com/settings


Gerrit-Project: public/gem5
Gerrit-Branch: master
Gerrit-Change-Id: I4cbddac4eb75c58d8ae3eb77d1f291dac3c51cd6
Gerrit-Change-Number: 11261
Gerrit-PatchSet: 1
Gerrit-Owner: Gabe Black 
Gerrit-MessageType: newchange
___
gem5-dev mailing list
gem5-dev@gem5.org
http://m5sim.org/mailman/listinfo/gem5-dev

[gem5-dev] Change in gem5/gem5[master]: systemc: Add a stubbed out sc_event_finder class.

2018-06-16 Thread Gabe Black (Gerrit)

Hello Jason Lowe-Power, Matthias Jung, Andreas Sandberg,

I'd like you to reexamine a change. Please visit

https://gem5-review.googlesource.com/10831

to look at the new patch set (#4).

Change subject: systemc: Add a stubbed out sc_event_finder class.
..

systemc: Add a stubbed out sc_event_finder class.

The standard defines this class very loosely, and so there isn't much
in the stub definition.

Change-Id: I2f8d07927a4eb087235e345a09d5a4d4891413b5
---
M src/systemc/sc_event.cc
M src/systemc/sc_event.hh
2 files changed, 23 insertions(+), 0 deletions(-)


--
To view, visit https://gem5-review.googlesource.com/10831
To unsubscribe, or for help writing mail filters, visit  
https://gem5-review.googlesource.com/settings


Gerrit-Project: public/gem5
Gerrit-Branch: master
Gerrit-Change-Id: I2f8d07927a4eb087235e345a09d5a4d4891413b5
Gerrit-Change-Number: 10831
Gerrit-PatchSet: 4
Gerrit-Owner: Gabe Black 
Gerrit-Reviewer: Andreas Sandberg 
Gerrit-Reviewer: Gabe Black 
Gerrit-Reviewer: Jason Lowe-Power 
Gerrit-Reviewer: Matthias Jung 
Gerrit-MessageType: newpatchset
___
gem5-dev mailing list
gem5-dev@gem5.org
http://m5sim.org/mailman/listinfo/gem5-dev

[gem5-dev] Change in gem5/gem5[master]: systemc: Add a stub version of the sc_interface class.

2018-06-16 Thread Gabe Black (Gerrit)

Hello Jason Lowe-Power, Matthias Jung, Andreas Sandberg,

I'd like you to reexamine a change. Please visit

https://gem5-review.googlesource.com/10825

to look at the new patch set (#4).

Change subject: systemc: Add a stub version of the sc_interface class.
..

systemc: Add a stub version of the sc_interface class.

Change-Id: Iad1da472e13b0e16ad4de03f456ca0a001e69b51
---
M src/systemc/SConscript
A src/systemc/sc_interface.cc
A src/systemc/sc_interface.hh
3 files changed, 118 insertions(+), 0 deletions(-)


--
To view, visit https://gem5-review.googlesource.com/10825
To unsubscribe, or for help writing mail filters, visit  
https://gem5-review.googlesource.com/settings


Gerrit-Project: public/gem5
Gerrit-Branch: master
Gerrit-Change-Id: Iad1da472e13b0e16ad4de03f456ca0a001e69b51
Gerrit-Change-Number: 10825
Gerrit-PatchSet: 4
Gerrit-Owner: Gabe Black 
Gerrit-Reviewer: Andreas Sandberg 
Gerrit-Reviewer: Gabe Black 
Gerrit-Reviewer: Jason Lowe-Power 
Gerrit-Reviewer: Matthias Jung 
Gerrit-MessageType: newpatchset
___
gem5-dev mailing list
gem5-dev@gem5.org
http://m5sim.org/mailman/listinfo/gem5-dev

[gem5-dev] Change in gem5/gem5[master]: systemc: Add support for deprecated integer report handler ids.

2018-06-16 Thread Gabe Black (Gerrit)
Gabe Black has uploaded this change for review. (  
https://gem5-review.googlesource.com/11256



Change subject: systemc: Add support for deprecated integer report handler  
ids.

..

systemc: Add support for deprecated integer report handler ids.

These are deprecated but still used in the regression tests.

Change-Id: Id59cf950fbe0530a6cdce20a256d450b243f12d3
---
M src/systemc/ext/utils/sc_report.hh
M src/systemc/ext/utils/sc_report_handler.hh
M src/systemc/utils/sc_report.cc
M src/systemc/utils/sc_report_handler.cc
4 files changed, 72 insertions(+), 0 deletions(-)



diff --git a/src/systemc/ext/utils/sc_report.hh  
b/src/systemc/ext/utils/sc_report.hh

index 9ba2b33..f0ed14f 100644
--- a/src/systemc/ext/utils/sc_report.hh
+++ b/src/systemc/ext/utils/sc_report.hh
@@ -74,6 +74,16 @@
 const char *get_process_name() const;

 virtual const char *what() const throw();
+
+// Deprecated
+static const char *get_message(int id);
+static bool is_suppressed(int id);
+static void make_warnings_errors(bool);
+static void register_id(int id, const char *msg);
+static void suppress_id(int id, bool); // Only for info or warning.
+static void suppress_infos(bool);
+static void suppress_warnings(bool);
+int get_id() const;
 };

 // A non-standard function the Accellera datatypes rely on.
diff --git a/src/systemc/ext/utils/sc_report_handler.hh  
b/src/systemc/ext/utils/sc_report_handler.hh

index d0eea77..6101e2b 100644
--- a/src/systemc/ext/utils/sc_report_handler.hh
+++ b/src/systemc/ext/utils/sc_report_handler.hh
@@ -68,6 +68,10 @@
 static void report(sc_severity, const char *msg_type, const char *msg,
int verbosity, const char *file, int line);

+// Deprecated
+static void report(sc_severity, int id, const char *msg, const char  
*file,

+   int line);
+
 static sc_actions set_actions(sc_severity, sc_actions=SC_UNSPECIFIED);
 static sc_actions set_actions(const char *msg_type,
   sc_actions=SC_UNSPECIFIED);
diff --git a/src/systemc/utils/sc_report.cc b/src/systemc/utils/sc_report.cc
index 0e6b8b0..6edbf2a 100644
--- a/src/systemc/utils/sc_report.cc
+++ b/src/systemc/utils/sc_report.cc
@@ -110,6 +110,57 @@
 return "";
 }

+const char *
+sc_report::get_message(int id)
+{
+warn("%s not implemented.\n", __PRETTY_FUNCTION__);
+return "";
+}
+
+bool
+sc_report::is_suppressed(int id)
+{
+warn("%s not implemented.\n", __PRETTY_FUNCTION__);
+return false;
+}
+
+void
+sc_report::make_warnings_errors(bool)
+{
+warn("%s not implemented.\n", __PRETTY_FUNCTION__);
+}
+
+void
+sc_report::register_id(int id, const char *msg)
+{
+warn("%s not implemented.\n", __PRETTY_FUNCTION__);
+}
+
+void
+sc_report::suppress_id(int id, bool)
+{
+warn("%s not implemented.\n", __PRETTY_FUNCTION__);
+}
+
+void
+sc_report::suppress_infos(bool)
+{
+warn("%s not implemented.\n", __PRETTY_FUNCTION__);
+}
+
+void
+sc_report::suppress_warnings(bool)
+{
+warn("%s not implemented.\n", __PRETTY_FUNCTION__);
+}
+
+int
+sc_report::get_id() const
+{
+warn("%s not implemented.\n", __PRETTY_FUNCTION__);
+return 0;
+}
+
 void
 sc_abort()
 {
diff --git a/src/systemc/utils/sc_report_handler.cc  
b/src/systemc/utils/sc_report_handler.cc

index 3bb9050..319851b 100644
--- a/src/systemc/utils/sc_report_handler.cc
+++ b/src/systemc/utils/sc_report_handler.cc
@@ -47,6 +47,13 @@
 warn("%s not implemented.\n", __PRETTY_FUNCTION__);
 }

+void
+sc_report_handler::report(sc_severity, int id, const char *msg,
+  const char *file, int line)
+{
+warn("%s not implemented.\n", __PRETTY_FUNCTION__);
+}
+
 sc_actions
 sc_report_handler::set_actions(sc_severity, sc_actions)
 {

--
To view, visit https://gem5-review.googlesource.com/11256
To unsubscribe, or for help writing mail filters, visit  
https://gem5-review.googlesource.com/settings


Gerrit-Project: public/gem5
Gerrit-Branch: master
Gerrit-Change-Id: Id59cf950fbe0530a6cdce20a256d450b243f12d3
Gerrit-Change-Number: 11256
Gerrit-PatchSet: 1
Gerrit-Owner: Gabe Black 
Gerrit-MessageType: newchange
___
gem5-dev mailing list
gem5-dev@gem5.org
http://m5sim.org/mailman/listinfo/gem5-dev

[gem5-dev] Change in gem5/gem5[master]: systemc: Hook up sc_main.

2018-06-16 Thread Gabe Black (Gerrit)

Hello Jason Lowe-Power, Matthias Jung, Giacomo Travaglini, Andreas Sandberg,

I'd like you to reexamine a change. Please visit

https://gem5-review.googlesource.com/10824

to look at the new patch set (#4).

Change subject: systemc: Hook up sc_main.
..

systemc: Hook up sc_main.

sc_main is exported as a python method on the SystemC_Kernel class and
takes a series of string arguments. The internal c++ implementation
converts those arguments into the standard argc and argv and uses them
to call the standard SystemC version of that function.

A weak SystemC version of sc_main is provided so that systemc will
compile with or without a simulation provided version of that
function. The weak version just complains and dies.

Change-Id: Iad735536c37c8bc85d06cf24779f607ae4309b8b
---
M src/systemc/SConscript
M src/systemc/SystemC.py
A src/systemc/sc_main.cc
A src/systemc/sc_main.hh
4 files changed, 175 insertions(+), 0 deletions(-)


--
To view, visit https://gem5-review.googlesource.com/10824
To unsubscribe, or for help writing mail filters, visit  
https://gem5-review.googlesource.com/settings


Gerrit-Project: public/gem5
Gerrit-Branch: master
Gerrit-Change-Id: Iad735536c37c8bc85d06cf24779f607ae4309b8b
Gerrit-Change-Number: 10824
Gerrit-PatchSet: 4
Gerrit-Owner: Gabe Black 
Gerrit-Reviewer: Andreas Sandberg 
Gerrit-Reviewer: Gabe Black 
Gerrit-Reviewer: Giacomo Travaglini 
Gerrit-Reviewer: Jason Lowe-Power 
Gerrit-Reviewer: Matthias Jung 
Gerrit-MessageType: newpatchset
___
gem5-dev mailing list
gem5-dev@gem5.org
http://m5sim.org/mailman/listinfo/gem5-dev

[gem5-dev] Change in gem5/gem5[master]: systemc: Add in the deprecated binding port constructors.

2018-06-16 Thread Gabe Black (Gerrit)

Hello Jason Lowe-Power, Matthias Jung, Andreas Sandberg,

I'd like you to reexamine a change. Please visit

https://gem5-review.googlesource.com/10958

to look at the new patch set (#3).

Change subject: systemc: Add in the deprecated binding port constructors.
..

systemc: Add in the deprecated binding port constructors.

These are needed by... you guessed it, the regression tests.

Change-Id: Id30e71944cc7f3faca7dcb197f37938368364fcd
---
M src/systemc/ext/channel/sc_fifo_in.hh
M src/systemc/ext/channel/sc_fifo_out.hh
M src/systemc/ext/channel/sc_in.hh
M src/systemc/ext/channel/sc_inout.hh
M src/systemc/ext/channel/sc_out.hh
M src/systemc/ext/core/sc_port.hh
6 files changed, 236 insertions(+), 12 deletions(-)


--
To view, visit https://gem5-review.googlesource.com/10958
To unsubscribe, or for help writing mail filters, visit  
https://gem5-review.googlesource.com/settings


Gerrit-Project: public/gem5
Gerrit-Branch: master
Gerrit-Change-Id: Id30e71944cc7f3faca7dcb197f37938368364fcd
Gerrit-Change-Number: 10958
Gerrit-PatchSet: 3
Gerrit-Owner: Gabe Black 
Gerrit-Reviewer: Andreas Sandberg 
Gerrit-Reviewer: Gabe Black 
Gerrit-Reviewer: Jason Lowe-Power 
Gerrit-Reviewer: Matthias Jung 
Gerrit-MessageType: newpatchset
___
gem5-dev mailing list
gem5-dev@gem5.org
http://m5sim.org/mailman/listinfo/gem5-dev

[gem5-dev] Change in gem5/gem5[master]: systemc: Add a nonstandard sc_signal constructor.

2018-06-16 Thread Gabe Black (Gerrit)
Gabe Black has uploaded this change for review. (  
https://gem5-review.googlesource.com/11266



Change subject: systemc: Add a nonstandard sc_signal constructor.
..

systemc: Add a nonstandard sc_signal constructor.

This constructor takes an initial value to set the sc_signal to, and
is used in the tests.

Change-Id: I197218846d9a79f9237238c78b1bbd8a7f55443f
---
M src/systemc/ext/channel/sc_signal.hh
1 file changed, 6 insertions(+), 0 deletions(-)



diff --git a/src/systemc/ext/channel/sc_signal.hh  
b/src/systemc/ext/channel/sc_signal.hh

index e1ed619..a0b12b6 100644
--- a/src/systemc/ext/channel/sc_signal.hh
+++ b/src/systemc/ext/channel/sc_signal.hh
@@ -53,6 +53,12 @@
 explicit sc_signal(const char *name) : sc_signal_inout_if(),
sc_prim_channel(name)
 {}
+explicit sc_signal(const char *name, const T _value) :
+sc_signal_inout_if(), sc_prim_channel(name)
+{
+// Need to consume initial_value.
+sc_channel_warn_unimpl(__PRETTY_FUNCTION__);
+}
 virtual ~sc_signal() {}

 virtual void

--
To view, visit https://gem5-review.googlesource.com/11266
To unsubscribe, or for help writing mail filters, visit  
https://gem5-review.googlesource.com/settings


Gerrit-Project: public/gem5
Gerrit-Branch: master
Gerrit-Change-Id: I197218846d9a79f9237238c78b1bbd8a7f55443f
Gerrit-Change-Number: 11266
Gerrit-PatchSet: 1
Gerrit-Owner: Gabe Black 
Gerrit-MessageType: newchange
___
gem5-dev mailing list
gem5-dev@gem5.org
http://m5sim.org/mailman/listinfo/gem5-dev

[gem5-dev] Change in gem5/gem5[master]: systemc: Add the deprecated sc_trace_delta_cycles function.

2018-06-16 Thread Gabe Black (Gerrit)
Gabe Black has uploaded this change for review. (  
https://gem5-review.googlesource.com/11267



Change subject: systemc: Add the deprecated sc_trace_delta_cycles function.
..

systemc: Add the deprecated sc_trace_delta_cycles function.

This function enables or disables tracing of delta cycles in a
particular trace file.

Change-Id: I53164a792856d071de1fefc76977cca8eb5fd735
---
M src/systemc/ext/utils/_using.hh
M src/systemc/ext/utils/sc_trace_file.hh
M src/systemc/utils/sc_trace_file.cc
3 files changed, 10 insertions(+), 0 deletions(-)



diff --git a/src/systemc/ext/utils/_using.hh  
b/src/systemc/ext/utils/_using.hh

index e6477c7..16b3e40 100644
--- a/src/systemc/ext/utils/_using.hh
+++ b/src/systemc/ext/utils/_using.hh
@@ -71,6 +71,7 @@
 using sc_core::sc_close_vcd_trace_file;
 using sc_core::sc_write_comment;
 using sc_core::sc_trace;
+using sc_core::sc_trace_delta_cycles;

 using sc_core::sc_exception;

diff --git a/src/systemc/ext/utils/sc_trace_file.hh  
b/src/systemc/ext/utils/sc_trace_file.hh

index 9281d47..db50e39 100644
--- a/src/systemc/ext/utils/sc_trace_file.hh
+++ b/src/systemc/ext/utils/sc_trace_file.hh
@@ -167,6 +167,9 @@
 void sc_trace(sc_trace_file *, const unsigned int &,
   const std::string &, const char **enum_literals);

+// Deprecated
+void sc_trace_delta_cycles(sc_trace_file *, bool on=true);
+
 template 
 void
 sc_trace(sc_trace_file *, const sc_signal_in_if &, const std::string &)
diff --git a/src/systemc/utils/sc_trace_file.cc  
b/src/systemc/utils/sc_trace_file.cc

index 9cae922..2ac702d 100644
--- a/src/systemc/utils/sc_trace_file.cc
+++ b/src/systemc/utils/sc_trace_file.cc
@@ -407,4 +407,10 @@
 warn("%s not implemented.\n", __PRETTY_FUNCTION__);
 }

+void
+sc_trace_delta_cycles(sc_trace_file *, bool on)
+{
+warn("%s not implemented.\n", __PRETTY_FUNCTION__);
+}
+
 } // namespace sc_core

--
To view, visit https://gem5-review.googlesource.com/11267
To unsubscribe, or for help writing mail filters, visit  
https://gem5-review.googlesource.com/settings


Gerrit-Project: public/gem5
Gerrit-Branch: master
Gerrit-Change-Id: I53164a792856d071de1fefc76977cca8eb5fd735
Gerrit-Change-Number: 11267
Gerrit-PatchSet: 1
Gerrit-Owner: Gabe Black 
Gerrit-MessageType: newchange
___
gem5-dev mailing list
gem5-dev@gem5.org
http://m5sim.org/mailman/listinfo/gem5-dev

[gem5-dev] Change in gem5/gem5[master]: systemc: Add the sc_nbdefs.hh header from Accellera.

2018-06-16 Thread Gabe Black (Gerrit)

Hello Jason Lowe-Power, Matthias Jung, Andreas Sandberg,

I'd like you to reexamine a change. Please visit

https://gem5-review.googlesource.com/10826

to look at the new patch set (#4).

Change subject: systemc: Add the sc_nbdefs.hh header from Accellera.
..

systemc: Add the sc_nbdefs.hh header from Accellera.

This header defines the uint64 type alias needed for the sc_time class.

Change-Id: I7793dbfb98001796c8c8fe24f69fe7868249ff85
---
A src/systemc/dt/int/sc_nbdefs.hh
1 file changed, 168 insertions(+), 0 deletions(-)


--
To view, visit https://gem5-review.googlesource.com/10826
To unsubscribe, or for help writing mail filters, visit  
https://gem5-review.googlesource.com/settings


Gerrit-Project: public/gem5
Gerrit-Branch: master
Gerrit-Change-Id: I7793dbfb98001796c8c8fe24f69fe7868249ff85
Gerrit-Change-Number: 10826
Gerrit-PatchSet: 4
Gerrit-Owner: Gabe Black 
Gerrit-Reviewer: Andreas Sandberg 
Gerrit-Reviewer: Gabe Black 
Gerrit-Reviewer: Jason Lowe-Power 
Gerrit-Reviewer: Matthias Jung 
Gerrit-MessageType: newpatchset
___
gem5-dev mailing list
gem5-dev@gem5.org
http://m5sim.org/mailman/listinfo/gem5-dev

[gem5-dev] Cron /z/m5/regression/do-regression quick

2018-06-16 Thread Cron Daemon
* 
build/RISCV/tests/opt/quick/se/02.insttest/riscv/linux-rv64c/simple-atomic: 
FAILED!
* 
build/RISCV/tests/opt/quick/se/02.insttest/riscv/linux-rv64c/minor-timing: 
FAILED!
* build/RISCV/tests/opt/quick/se/02.insttest/riscv/linux-rv64f/o3-timing: 
FAILED!
* build/RISCV/tests/opt/quick/se/02.insttest/riscv/linux-rv64c/o3-timing: 
FAILED!
* 
build/RISCV/tests/opt/quick/se/02.insttest/riscv/linux-rv64c/simple-timing: 
FAILED!
* 
build/RISCV/tests/opt/quick/se/02.insttest/riscv/linux-rv64c/simple-timing-ruby:
 FAILED!
* 
build/ALPHA/tests/opt/quick/se/03.learning-gem5/alpha/linux/learning-gem5-p1-two-level:
 CHANGED!
* build/NULL/tests/opt/quick/se/60.rubytest/null/none/rubytest-ruby: 
CHANGED!
* build/NULL/tests/opt/quick/se/80.dram-closepage/null/none/dram-lowp: 
CHANGED!
* build/NULL/tests/opt/quick/se/70.tgen/null/none/tgen-dram-ctrl: CHANGED!
* build/ALPHA/tests/opt/quick/se/00.hello/alpha/linux/simple-timing: 
CHANGED!
* build/ALPHA/tests/opt/quick/se/00.hello/alpha/linux/minor-timing: CHANGED!
* 
build/NULL_MOESI_hammer/tests/opt/quick/se/60.rubytest/null/none/rubytest-ruby-MOESI_hammer:
 CHANGED!
* 
build/ALPHA/tests/opt/quick/se/03.learning-gem5/alpha/linux/learning-gem5-p1-simple:
 CHANGED!
* 
build/MIPS/tests/opt/quick/se/03.learning-gem5/mips/linux/learning-gem5-p1-two-level:
 CHANGED!
* 
build/MIPS/tests/opt/quick/se/03.learning-gem5/mips/linux/learning-gem5-p1-simple:
 CHANGED!
* build/MIPS/tests/opt/quick/se/00.hello/mips/linux/simple-timing-ruby: 
CHANGED!
* build/MIPS/tests/opt/quick/se/00.hello/mips/linux/o3-timing: CHANGED!
* build/MIPS/tests/opt/quick/se/00.hello/mips/linux/simple-timing: CHANGED!
* 
build/NULL_MESI_Two_Level/tests/opt/quick/se/60.rubytest/null/none/rubytest-ruby-MESI_Two_Level:
 CHANGED!
* build/ALPHA/tests/opt/quick/se/01.hello-2T-smt/alpha/linux/o3-timing-mt: 
CHANGED!
* build/ALPHA/tests/opt/quick/se/00.hello/alpha/linux/o3-timing: CHANGED!
* 
build/ALPHA/tests/opt/quick/fs/10.linux-boot/alpha/linux/tsunami-simple-atomic: 
CHANGED!
* build/ALPHA/tests/opt/quick/se/00.hello/alpha/linux/simple-timing-ruby: 
CHANGED!
* 
build/ALPHA/tests/opt/quick/fs/10.linux-boot/alpha/linux/tsunami-simple-atomic-dual:
 CHANGED!
* 
build/ALPHA/tests/opt/quick/fs/10.linux-boot/alpha/linux/tsunami-simple-timing: 
CHANGED!
* 
build/ALPHA/tests/opt/quick/fs/10.linux-boot/alpha/linux/tsunami-simple-timing-dual:
 CHANGED!
* 
build/NULL_MOESI_CMP_directory/tests/opt/quick/se/60.rubytest/null/none/rubytest-ruby-MOESI_CMP_directory:
 CHANGED!
* 
build/NULL_MOESI_CMP_token/tests/opt/quick/se/60.rubytest/null/none/rubytest-ruby-MOESI_CMP_token:
 CHANGED!
* build/POWER/tests/opt/quick/se/00.hello/power/linux/o3-timing: CHANGED!
* 
build/SPARC/tests/opt/quick/se/03.learning-gem5/sparc/linux/learning-gem5-p1-two-level:
 CHANGED!
* 
build/SPARC/tests/opt/quick/se/40.m5threads-test-atomic/sparc/linux/simple-timing-mp:
 CHANGED!
* 
build/SPARC/tests/opt/quick/se/40.m5threads-test-atomic/sparc/linux/o3-timing-mp:
 CHANGED!
* build/SPARC/tests/opt/quick/se/02.insttest/sparc/linux/o3-timing: CHANGED!
* 
build/SPARC/tests/opt/quick/se/03.learning-gem5/sparc/linux/learning-gem5-p1-simple:
 CHANGED!
* 
build/SPARC/tests/opt/quick/se/40.m5threads-test-atomic/sparc/linux/simple-atomic-mp:
 CHANGED!
* build/SPARC/tests/opt/quick/se/00.hello/sparc/linux/simple-timing: 
CHANGED!
* build/SPARC/tests/opt/quick/se/50.vortex/sparc/linux/simple-timing: 
CHANGED!
* build/X86/tests/opt/quick/se/70.twolf/x86/linux/simple-atomic: CHANGED!
* build/ARM/tests/opt/quick/se/00.hello/arm/linux/simple-atomic: CHANGED!
* build/ARM/tests/opt/quick/se/50.vortex/arm/linux/simple-atomic: CHANGED!
* 
build/ARM/tests/opt/quick/se/03.learning-gem5/arm/linux/learning-gem5-p1-simple:
 CHANGED!
* build/ARM/tests/opt/quick/se/10.mcf/arm/linux/simple-atomic: CHANGED!
* build/ARM/tests/opt/quick/se/00.hello/arm/linux/o3-timing-checker: 
CHANGED!
* build/SPARC/tests/opt/quick/se/70.twolf/sparc/linux/simple-timing: 
CHANGED!
* 
build/ARM/tests/opt/quick/se/00.hello/arm/linux/simple-atomic-dummychecker: 
CHANGED!
* build/X86/tests/opt/quick/se/70.twolf/x86/linux/simple-timing: CHANGED!
* build/ARM/tests/opt/quick/se/70.twolf/arm/linux/simple-atomic: CHANGED!
* 
build/ARM/tests/opt/quick/se/03.learning-gem5/arm/linux/learning-gem5-p1-two-level:
 CHANGED!
* build/ARM/tests/opt/quick/se/00.hello/arm/linux/simple-timing: CHANGED!
* build/ARM/tests/opt/quick/se/10.mcf/arm/linux/simple-timing: CHANGED!
* build/ARM/tests/opt/quick/se/00.hello/arm/linux/o3-timing: CHANGED!
* 
build/ARM/tests/opt/quick/fs/10.linux-boot/arm/linux/realview-simple-atomic-dual:
 CHANGED!
* build/ARM/tests/opt/quick/se/00.hello/arm/linux/minor-timing: CHANGED!
* 
build/ARM/tests/opt/quick/fs/10.linux-boot/arm/linux/realview-simple-atomic: 
CHANGED!
*