[gem5-dev] Change in gem5/gem5[master]: misc: Suppress of deprecated keyword usage warning in Python2

2019-01-08 Thread Andrea Mondelli (Gerrit)

Hello Gabe Black, Andrea Mondelli,

I'd like you to reexamine a change. Please visit

https://gem5-review.googlesource.com/c/public/gem5/+/15376

to look at the new patch set (#3).

Change subject: misc: Suppress of deprecated keyword usage warning in  
Python2

..

misc: Suppress of deprecated keyword usage warning in Python2

Python2.7 uses the "register" keyword in the unicodeobject.h header.
This keyword is deprecated in recent compilers causing a warning.

The use of this keyword has been removed in the Python3 version of
this header.

This patch suppresses the warning on recent compilers, using a custom
shared header file for the Python.h inclusion.

Tested on:
gcc7.3@ubuntu
clang@darwin18.2.0

Change-Id: I2b4b37a1865495a05f4446450b11db35f9320b83
---
M ext/dsent/interface.cc
M ext/pybind11/include/pybind11/detail/common.h
M ext/sst/gem5.cc
A src/base/python.hh
M src/sim/init.cc
M src/sim/main.cc
M src/sim/py_interact.cc
7 files changed, 50 insertions(+), 12 deletions(-)


--
To view, visit https://gem5-review.googlesource.com/c/public/gem5/+/15376
To unsubscribe, or for help writing mail filters, visit  
https://gem5-review.googlesource.com/settings


Gerrit-Project: public/gem5
Gerrit-Branch: master
Gerrit-Change-Id: I2b4b37a1865495a05f4446450b11db35f9320b83
Gerrit-Change-Number: 15376
Gerrit-PatchSet: 3
Gerrit-Owner: Andrea Mondelli 
Gerrit-Reviewer: Andrea Mondelli 
Gerrit-Reviewer: Andrea Mondelli 
Gerrit-Reviewer: Gabe Black 
Gerrit-CC: Jason Lowe-Power 
Gerrit-MessageType: newpatchset
___
gem5-dev mailing list
gem5-dev@gem5.org
http://m5sim.org/mailman/listinfo/gem5-dev

[gem5-dev] Change in gem5/gem5[master]: misc: Suppress of deprecated keyword usage warning in Python2

2019-01-08 Thread Andrea Mondelli (Gerrit)

Hello Gabe Black, Andrea Mondelli,

I'd like you to reexamine a change. Please visit

https://gem5-review.googlesource.com/c/public/gem5/+/15376

to look at the new patch set (#2).

Change subject: misc: Suppress of deprecated keyword usage warning in  
Python2

..

misc: Suppress of deprecated keyword usage warning in Python2

Python2.7 uses the "register" keyword in the unicodeobject.h header.
This keyword is deprecated in recent compilers causing a warning.

The use of this keyword has been removed in the Python3 version of
this header.

This patch suppresses the warning on recent compilers, using a custom
shared header file for the Python.h inclusion.

Tested on:
gcc7.3@ubuntu
clang@darwin18.2.0

Change-Id: I2b4b37a1865495a05f4446450b11db35f9320b83
---
M ext/dsent/interface.cc
M ext/pybind11/include/pybind11/detail/common.h
M ext/sst/gem5.cc
A src/base/python.hh
M src/sim/init.cc
M src/sim/main.cc
M src/sim/py_interact.cc
7 files changed, 50 insertions(+), 12 deletions(-)


--
To view, visit https://gem5-review.googlesource.com/c/public/gem5/+/15376
To unsubscribe, or for help writing mail filters, visit  
https://gem5-review.googlesource.com/settings


Gerrit-Project: public/gem5
Gerrit-Branch: master
Gerrit-Change-Id: I2b4b37a1865495a05f4446450b11db35f9320b83
Gerrit-Change-Number: 15376
Gerrit-PatchSet: 2
Gerrit-Owner: Andrea Mondelli 
Gerrit-Reviewer: Andrea Mondelli 
Gerrit-Reviewer: Andrea Mondelli 
Gerrit-Reviewer: Gabe Black 
Gerrit-CC: Jason Lowe-Power 
Gerrit-MessageType: newpatchset
___
gem5-dev mailing list
gem5-dev@gem5.org
http://m5sim.org/mailman/listinfo/gem5-dev

[gem5-dev] Change in gem5/gem5[master]: misc: Suppress of deprecated keyword usage warning in Python2

2019-01-08 Thread Andrea Mondelli (Gerrit)

Hello Andrea Mondelli,

I'd like you to do a code review. Please visit

https://gem5-review.googlesource.com/c/public/gem5/+/15376

to review the following change.


Change subject: misc: Suppress of deprecated keyword usage warning in  
Python2

..

misc: Suppress of deprecated keyword usage warning in Python2

Python2.7 uses the "register" keyword in the unicodeobject.h header.
This keyword is deprecated in recent compilers causing a warning.

The use of this keyword has been removed in the Python3 version of
this header.

This patch suppresses the warning on recent compilers, using a custom
shared header file for the Python.h inclusion.

Tested on:
gcc7.3@ubuntu
clang@darwin18.2.0

Change-Id: I2b4b37a1865495a05f4446450b11db35f9320b83
---
M ext/dsent/interface.cc
M ext/pybind11/include/pybind11/detail/common.h
M ext/sst/gem5.cc
A src/base/python.hh
M src/sim/init.cc
M src/sim/main.cc
M src/sim/py_interact.cc
7 files changed, 50 insertions(+), 12 deletions(-)



diff --git a/ext/dsent/interface.cc b/ext/dsent/interface.cc
index b57c33e..e02c24f 100644
--- a/ext/dsent/interface.cc
+++ b/ext/dsent/interface.cc
@@ -25,10 +25,10 @@
  * OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
  */

-#include 
 #include 

 #include "DSENT.h"
+#include "base/python.hh"
 #include "libutil/String.h"
 #include "model/Model.h"

diff --git a/ext/pybind11/include/pybind11/detail/common.h  
b/ext/pybind11/include/pybind11/detail/common.h

index 8f763f0..9cf8b05 100644
--- a/ext/pybind11/include/pybind11/detail/common.h
+++ b/ext/pybind11/include/pybind11/detail/common.h
@@ -108,7 +108,8 @@
 #  endif
 #endif

-#include 
+#include "base/python.hh"
+
 #include 
 #include 

diff --git a/ext/sst/gem5.cc b/ext/sst/gem5.cc
index 3d48e93..c8d6f35 100644
--- a/ext/sst/gem5.cc
+++ b/ext/sst/gem5.cc
@@ -1,6 +1,6 @@
 // Copyright (c) 2015-2016 ARM Limited
 // All rights reserved.
-//
+//
 // The license below extends only to copyright in the software and shall
 // not be construed as granting a license to any other intellectual
 // property including but not limited to intellectual property relating
@@ -9,7 +9,7 @@
 // terms below provided that you ensure that this notice is replicated
 // unmodified and in its entirety in all distributions of the software,
 // modified or unmodified, in source code or in binary form.
-//
+//
 // Redistribution and use in source and binary forms, with or without
 // modification, are permitted provided that the following conditions are
 // met: redistributions of source code must retain the above copyright
@@ -20,7 +20,7 @@
 // neither the name of the copyright holders nor the names of its
 // contributors may be used to endorse or promote products derived from
 // this software without specific prior written permission.
-//
+//
 // THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS
 // "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT
 // LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR
@@ -43,7 +43,6 @@
 // For license information, see the LICENSE file in the current directory.

 #include 
-#include   // Before serialization to prevent spurious warnings

 #include "gem5.hh"

@@ -52,6 +51,7 @@
 #include 

 // gem5 Headers
+#include 
 #include 
 #include 
 #include 
diff --git a/src/base/python.hh b/src/base/python.hh
new file mode 100644
index 000..967944c
--- /dev/null
+++ b/src/base/python.hh
@@ -0,0 +1,40 @@
+/*
+ * Copyright (c) 2019
+  * All rights reserved.
+ *
+ * Redistribution and use in source and binary forms, with or without
+ * modification, are permitted provided that the following conditions are
+ * met: redistributions of source code must retain the above copyright
+ * notice, this list of conditions and the following disclaimer;
+ * redistributions in binary form must reproduce the above copyright
+ * notice, this list of conditions and the following disclaimer in the
+ * documentation and/or other materials provided with the distribution;
+ * neither the name of the copyright holders nor the names of its
+ * contributors may be used to endorse or promote products derived from
+ * this software without specific prior written permission.
+ *
+ * THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS
+ * "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT
+ * LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR
+ * A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT
+ * OWNER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL,
+ * SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT
+ * LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE,
+ * DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY
+ * THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT
+ * (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY 

[gem5-dev] Change in gem5/gem5[master]: systemc: Make input.txt a dependency for the tlm/endian_conv test.

2019-01-08 Thread Gabe Black (Gerrit)
Gabe Black has submitted this change and it was merged. (  
https://gem5-review.googlesource.com/c/public/gem5/+/15064 )


Change subject: systemc: Make input.txt a dependency for the  
tlm/endian_conv test.

..

systemc: Make input.txt a dependency for the tlm/endian_conv test.

This input file is consumed by the test and needs to be in the build
directory.

Change-Id: I3420dec9e41a1981c7d4e6df47f03273e378ab66
Reviewed-on: https://gem5-review.googlesource.com/c/15064
Reviewed-by: Anthony Gutierrez 
Maintainer: Anthony Gutierrez 
---
A src/systemc/tests/tlm/endian_conv/DEPS
1 file changed, 1 insertion(+), 0 deletions(-)

Approvals:
  Anthony Gutierrez: Looks good to me, approved; Looks good to me, approved



diff --git a/src/systemc/tests/tlm/endian_conv/DEPS  
b/src/systemc/tests/tlm/endian_conv/DEPS

new file mode 100644
index 000..06c798b
--- /dev/null
+++ b/src/systemc/tests/tlm/endian_conv/DEPS
@@ -0,0 +1 @@
+input.txt

--
To view, visit https://gem5-review.googlesource.com/c/public/gem5/+/15064
To unsubscribe, or for help writing mail filters, visit  
https://gem5-review.googlesource.com/settings


Gerrit-Project: public/gem5
Gerrit-Branch: master
Gerrit-Change-Id: I3420dec9e41a1981c7d4e6df47f03273e378ab66
Gerrit-Change-Number: 15064
Gerrit-PatchSet: 5
Gerrit-Owner: Gabe Black 
Gerrit-Reviewer: Andreas Sandberg 
Gerrit-Reviewer: Anthony Gutierrez 
Gerrit-Reviewer: Gabe Black 
Gerrit-Reviewer: Giacomo Travaglini 
Gerrit-Reviewer: Jason Lowe-Power 
Gerrit-Reviewer: Matthias Jung 
Gerrit-MessageType: merged
___
gem5-dev mailing list
gem5-dev@gem5.org
http://m5sim.org/mailman/listinfo/gem5-dev

[gem5-dev] Change in gem5/gem5[master]: systemc: Exclude some failing systemc TLM tests in working.filt.

2019-01-08 Thread Gabe Black (Gerrit)
Gabe Black has submitted this change and it was merged. (  
https://gem5-review.googlesource.com/c/public/gem5/+/15066 )


Change subject: systemc: Exclude some failing systemc TLM tests in  
working.filt.

..

systemc: Exclude some failing systemc TLM tests in working.filt.

These are known to fail and are undiagnosed, and so are not "working"
and shouldn't be in included when using the working.filt filter file.

Change-Id: I46e9f880bd4095085e4217ac6bec950cb2af9536
Reviewed-on: https://gem5-review.googlesource.com/c/15066
Reviewed-by: Anthony Gutierrez 
Maintainer: Anthony Gutierrez 
---
M src/systemc/tests/working.filt
1 file changed, 4 insertions(+), 0 deletions(-)

Approvals:
  Anthony Gutierrez: Looks good to me, approved; Looks good to me, approved



diff --git a/src/systemc/tests/working.filt b/src/systemc/tests/working.filt
index 49ab3aa..999a3da 100644
--- a/src/systemc/tests/working.filt
+++ b/src/systemc/tests/working.filt
@@ -15,6 +15,10 @@


 path in (
+# Fails with undiagnosed output differences.
+"tlm/bus",
+"tlm/bus_dmi",
+
 # Uses sc_elab_and_sim.
 "systemc/kernel/sc_main_main",


--
To view, visit https://gem5-review.googlesource.com/c/public/gem5/+/15066
To unsubscribe, or for help writing mail filters, visit  
https://gem5-review.googlesource.com/settings


Gerrit-Project: public/gem5
Gerrit-Branch: master
Gerrit-Change-Id: I46e9f880bd4095085e4217ac6bec950cb2af9536
Gerrit-Change-Number: 15066
Gerrit-PatchSet: 5
Gerrit-Owner: Gabe Black 
Gerrit-Reviewer: Andreas Sandberg 
Gerrit-Reviewer: Anthony Gutierrez 
Gerrit-Reviewer: Gabe Black 
Gerrit-Reviewer: Giacomo Travaglini 
Gerrit-Reviewer: Jason Lowe-Power 
Gerrit-Reviewer: Matthias Jung 
Gerrit-MessageType: merged
___
gem5-dev mailing list
gem5-dev@gem5.org
http://m5sim.org/mailman/listinfo/gem5-dev

[gem5-dev] Change in gem5/gem5[master]: systemc: Remove the TLM dependence on a non-standard method.

2019-01-08 Thread Gabe Black (Gerrit)
Gabe Black has submitted this change and it was merged. (  
https://gem5-review.googlesource.com/c/public/gem5/+/15063 )


Change subject: systemc: Remove the TLM dependence on a non-standard method.
..

systemc: Remove the TLM dependence on a non-standard method.

The sc_event_finder class in Accellera's implementation has a
non-standard report_error function that it uses internally. The TLM
headers were calling that function in their own event finder subclass.
This change replaces that call with what should be an equivalent bit of
code which is based on the report_error implementation.

Change-Id: Id57d26791df01403a77e70d5f4a00f650dc33599
Reviewed-on: https://gem5-review.googlesource.com/c/15063
Reviewed-by: Anthony Gutierrez 
Maintainer: Anthony Gutierrez 
---
M src/systemc/ext/tlm_core/1/req_rsp/ports/event_finder.hh
1 file changed, 7 insertions(+), 2 deletions(-)

Approvals:
  Anthony Gutierrez: Looks good to me, approved; Looks good to me, approved



diff --git a/src/systemc/ext/tlm_core/1/req_rsp/ports/event_finder.hh  
b/src/systemc/ext/tlm_core/1/req_rsp/ports/event_finder.hh

index cdf2d8b..a56118b 100644
--- a/src/systemc/ext/tlm_core/1/req_rsp/ports/event_finder.hh
+++ b/src/systemc/ext/tlm_core/1/req_rsp/ports/event_finder.hh
@@ -20,6 +20,8 @@
 #ifndef __SYSTEMC_EXT_TLM_CORE_1_REQ_RSP_PORTS_EVENT_FINDER_HH__
 #define __SYSTEMC_EXT_TLM_CORE_1_REQ_RSP_PORTS_EVENT_FINDER_HH__

+#include 
+
 #include "tlm_core/1/req_rsp/interfaces/tag.hh"

 namespace tlm
@@ -56,9 +58,12 @@
 {
 const IF *iface = if_p ? dynamic_cast(if_p) :
 dynamic_cast(port()->_gem5Interface(0));
-static sc_core::sc_event none;
 if (iface == nullptr) {
-report_error(sc_core::SC_ID_FIND_EVENT_, "port is not bound");
+std::ostringstream out;
+out << "port is not bound: port '" << port()->name() <<
+"' (" << port()->kind() << ")";
+SC_REPORT_ERROR(sc_core::SC_ID_FIND_EVENT_, out.str().c_str());
+static sc_core::sc_event none;
 return none;
 }
 return (const_cast(iface)->*m_event_method)(nullptr);

--
To view, visit https://gem5-review.googlesource.com/c/public/gem5/+/15063
To unsubscribe, or for help writing mail filters, visit  
https://gem5-review.googlesource.com/settings


Gerrit-Project: public/gem5
Gerrit-Branch: master
Gerrit-Change-Id: Id57d26791df01403a77e70d5f4a00f650dc33599
Gerrit-Change-Number: 15063
Gerrit-PatchSet: 5
Gerrit-Owner: Gabe Black 
Gerrit-Reviewer: Andreas Sandberg 
Gerrit-Reviewer: Anthony Gutierrez 
Gerrit-Reviewer: Gabe Black 
Gerrit-Reviewer: Giacomo Travaglini 
Gerrit-Reviewer: Jason Lowe-Power 
Gerrit-Reviewer: Matthias Jung 
Gerrit-MessageType: merged
___
gem5-dev mailing list
gem5-dev@gem5.org
http://m5sim.org/mailman/listinfo/gem5-dev

[gem5-dev] Change in gem5/gem5[master]: systemc: Stop using the sc_string_view type.

2019-01-08 Thread Gabe Black (Gerrit)
Gabe Black has submitted this change and it was merged. (  
https://gem5-review.googlesource.com/c/public/gem5/+/15298 )


Change subject: systemc: Stop using the sc_string_view type.
..

systemc: Stop using the sc_string_view type.

It doesn't seem to provide anything more that std::string, and comes
with extra dependencies/baggage.

Change-Id: I2c599bcc93fa4a944ff249410d24e8f8be981afe
Reviewed-on: https://gem5-review.googlesource.com/c/15298
Reviewed-by: Anthony Gutierrez 
Maintainer: Anthony Gutierrez 
---
M src/systemc/tlm_core/2/generic_payload/phase.cc
1 file changed, 2 insertions(+), 3 deletions(-)

Approvals:
  Anthony Gutierrez: Looks good to me, approved; Looks good to me, approved



diff --git a/src/systemc/tlm_core/2/generic_payload/phase.cc  
b/src/systemc/tlm_core/2/generic_payload/phase.cc

index a3fb937..8f91722 100644
--- a/src/systemc/tlm_core/2/generic_payload/phase.cc
+++ b/src/systemc/tlm_core/2/generic_payload/phase.cc
@@ -19,12 +19,11 @@

 #include 
 #include 
+#include 
 #include 
 #include 
 #include 

-using sc_core::sc_string_view;
-
 namespace tlm
 {

@@ -43,7 +42,7 @@
 }

 unsigned int
-register_phase(std::type_index type, sc_string_view name)
+register_phase(std::type_index type, std::string name)
 {
 type_map::const_iterator it = ids_.find(type);


--
To view, visit https://gem5-review.googlesource.com/c/public/gem5/+/15298
To unsubscribe, or for help writing mail filters, visit  
https://gem5-review.googlesource.com/settings


Gerrit-Project: public/gem5
Gerrit-Branch: master
Gerrit-Change-Id: I2c599bcc93fa4a944ff249410d24e8f8be981afe
Gerrit-Change-Number: 15298
Gerrit-PatchSet: 3
Gerrit-Owner: Gabe Black 
Gerrit-Reviewer: Andreas Sandberg 
Gerrit-Reviewer: Anthony Gutierrez 
Gerrit-Reviewer: Gabe Black 
Gerrit-Reviewer: Giacomo Travaglini 
Gerrit-Reviewer: Jason Lowe-Power 
Gerrit-Reviewer: Matthias Jung 
Gerrit-MessageType: merged
___
gem5-dev mailing list
gem5-dev@gem5.org
http://m5sim.org/mailman/listinfo/gem5-dev

[gem5-dev] Change in gem5/gem5[master]: systemc: Replace sc_core::sc_type_index with std::type_index.

2019-01-08 Thread Gabe Black (Gerrit)
Gabe Black has submitted this change and it was merged. (  
https://gem5-review.googlesource.com/c/public/gem5/+/15297 )


Change subject: systemc: Replace sc_core::sc_type_index with  
std::type_index.

..

systemc: Replace sc_core::sc_type_index with std::type_index.

The former is either the same as the later, or a custom wrapper if
C++11 isn't supported. Since we generally expect C++11 support, we can
skip the indirection.

Change-Id: I9a45e3854bb7cc56d094e3fe2773fe4b5c94403b
Reviewed-on: https://gem5-review.googlesource.com/c/15297
Reviewed-by: Anthony Gutierrez 
Maintainer: Anthony Gutierrez 
---
M src/systemc/ext/tlm_core/2/sockets/base_socket_if.hh
M src/systemc/ext/tlm_core/2/sockets/initiator_socket.hh
M src/systemc/ext/tlm_core/2/sockets/target_socket.hh
M src/systemc/tlm_core/2/generic_payload/gp.cc
M src/systemc/tlm_core/2/generic_payload/phase.cc
M src/systemc/tlm_utils/instance_specific_extensions.cc
6 files changed, 17 insertions(+), 12 deletions(-)

Approvals:
  Anthony Gutierrez: Looks good to me, approved; Looks good to me, approved



diff --git a/src/systemc/ext/tlm_core/2/sockets/base_socket_if.hh  
b/src/systemc/ext/tlm_core/2/sockets/base_socket_if.hh

index 29f3397..a494b68 100644
--- a/src/systemc/ext/tlm_core/2/sockets/base_socket_if.hh
+++ b/src/systemc/ext/tlm_core/2/sockets/base_socket_if.hh
@@ -21,6 +21,7 @@
 #define __SYSTEMC_EXT_TLM_CORE_2_SOCKETS_BASE_SOCKET_IF_H__

 #include 
+#include 

 namespace tlm
 {
@@ -45,7 +46,7 @@
 virtual sc_core::sc_export_base _export_base() = 0;
 virtual sc_core::sc_export_base const _export_base() const = 0;
 virtual unsigned int get_bus_width() const = 0;
-virtual sc_core::sc_type_index get_protocol_types() const = 0;
+virtual std::type_index get_protocol_types() const = 0;
 virtual tlm_socket_category get_socket_category() const = 0;

   protected:
diff --git a/src/systemc/ext/tlm_core/2/sockets/initiator_socket.hh  
b/src/systemc/ext/tlm_core/2/sockets/initiator_socket.hh

index 91c147b..a7c53cc 100644
--- a/src/systemc/ext/tlm_core/2/sockets/initiator_socket.hh
+++ b/src/systemc/ext/tlm_core/2/sockets/initiator_socket.hh
@@ -20,6 +20,8 @@
 #ifndef __SYSTEMC_EXT_TLM_CORE_2_SOCKETS_INITIATOR_SOCKET_HH__
 #define __SYSTEMC_EXT_TLM_CORE_2_SOCKETS_INITIATOR_SOCKET_HH__

+#include 
+
 #include "tlm_core/2/interfaces/fw_bw_ifs.hh"
 #include "tlm_core/2/sockets/base_socket_if.hh"

@@ -192,7 +194,7 @@

 virtual const char *kind() const { return "tlm_initiator_socket"; }

-virtual sc_core::sc_type_index
+virtual std::type_index
 get_protocol_types() const
 {
 return typeid(TYPES);
diff --git a/src/systemc/ext/tlm_core/2/sockets/target_socket.hh  
b/src/systemc/ext/tlm_core/2/sockets/target_socket.hh

index b412a7d..4ca31c9 100644
--- a/src/systemc/ext/tlm_core/2/sockets/target_socket.hh
+++ b/src/systemc/ext/tlm_core/2/sockets/target_socket.hh
@@ -20,6 +20,8 @@
 #ifndef __SYSTEMC_EXT_TLM_CORE_2_SOCKETS_TARGET_SOCKET_HH__
 #define __SYSTEMC_EXT_TLM_CORE_2_SOCKETS_TARGET_SOCKET_HH__

+#include 
+
 #include "tlm_core/2/interfaces/fw_bw_ifs.hh"
 #include "tlm_core/2/sockets/base_socket_if.hh"

@@ -211,7 +213,7 @@

 virtual const char* kind() const { return "tlm_target_socket"; }

-virtual sc_core::sc_type_index
+virtual std::type_index
 get_protocol_types() const
 {
 return typeid(TYPES);
diff --git a/src/systemc/tlm_core/2/generic_payload/gp.cc  
b/src/systemc/tlm_core/2/generic_payload/gp.cc

index ff3c92b..b42bdb8 100644
--- a/src/systemc/tlm_core/2/generic_payload/gp.cc
+++ b/src/systemc/tlm_core/2/generic_payload/gp.cc
@@ -21,8 +21,7 @@
 #include 
 #include 
 #include 
-
-using sc_core::sc_type_index;
+#include 

 namespace tlm
 {
@@ -39,7 +38,7 @@
 class tlm_extension_registry
 {
 typedef unsigned int key_type;
-typedef std::map type_map;
+typedef std::map type_map;
   public:
 static tlm_extension_registry &
 instance()
@@ -52,7 +51,7 @@
 }

 unsigned int
-register_extension(sc_type_index type)
+register_extension(std::type_index type)
 {
 type_map::const_iterator it = ids_.find(type);

diff --git a/src/systemc/tlm_core/2/generic_payload/phase.cc  
b/src/systemc/tlm_core/2/generic_payload/phase.cc

index 2c8b1e1..a3fb937 100644
--- a/src/systemc/tlm_core/2/generic_payload/phase.cc
+++ b/src/systemc/tlm_core/2/generic_payload/phase.cc
@@ -21,9 +21,9 @@
 #include 
 #include 
 #include 
+#include 

 using sc_core::sc_string_view;
-using sc_core::sc_type_index;

 namespace tlm
 {
@@ -43,7 +43,7 @@
 }

 unsigned int
-register_phase(sc_type_index type, sc_string_view name)
+register_phase(std::type_index type, sc_string_view name)
 {
 type_map::const_iterator it = ids_.find(type);

@@ -77,7 +77,7 @@
 }

   private:
-typedef std::map type_map;
+typedef std::map type_map;
 typedef std::vector name_table;

 

[gem5-dev] Change in gem5/gem5[master]: systemc: Stop using the Accellera specific "none" global event object.

2019-01-08 Thread Gabe Black (Gerrit)
Gabe Black has submitted this change and it was merged. (  
https://gem5-review.googlesource.com/c/public/gem5/+/15296 )


Change subject: systemc: Stop using the Accellera specific "none" global  
event object.

..

systemc: Stop using the Accellera specific "none" global event object.

That event being available is nonstandard. Define our own static event
for use in that case.

Change-Id: I5e8892bd83cc1984d63949ef3e249755dca0c702
Reviewed-on: https://gem5-review.googlesource.com/c/15296
Reviewed-by: Anthony Gutierrez 
Maintainer: Anthony Gutierrez 
---
M src/systemc/ext/tlm_core/1/req_rsp/ports/event_finder.hh
1 file changed, 2 insertions(+), 1 deletion(-)

Approvals:
  Anthony Gutierrez: Looks good to me, approved; Looks good to me, approved



diff --git a/src/systemc/ext/tlm_core/1/req_rsp/ports/event_finder.hh  
b/src/systemc/ext/tlm_core/1/req_rsp/ports/event_finder.hh

index 2e411eb..cdf2d8b 100644
--- a/src/systemc/ext/tlm_core/1/req_rsp/ports/event_finder.hh
+++ b/src/systemc/ext/tlm_core/1/req_rsp/ports/event_finder.hh
@@ -56,9 +56,10 @@
 {
 const IF *iface = if_p ? dynamic_cast(if_p) :
 dynamic_cast(port()->_gem5Interface(0));
+static sc_core::sc_event none;
 if (iface == nullptr) {
 report_error(sc_core::SC_ID_FIND_EVENT_, "port is not bound");
-return sc_core::sc_event::none;
+return none;
 }
 return (const_cast(iface)->*m_event_method)(nullptr);
 }

--
To view, visit https://gem5-review.googlesource.com/c/public/gem5/+/15296
To unsubscribe, or for help writing mail filters, visit  
https://gem5-review.googlesource.com/settings


Gerrit-Project: public/gem5
Gerrit-Branch: master
Gerrit-Change-Id: I5e8892bd83cc1984d63949ef3e249755dca0c702
Gerrit-Change-Number: 15296
Gerrit-PatchSet: 3
Gerrit-Owner: Gabe Black 
Gerrit-Reviewer: Andreas Sandberg 
Gerrit-Reviewer: Anthony Gutierrez 
Gerrit-Reviewer: Gabe Black 
Gerrit-Reviewer: Giacomo Travaglini 
Gerrit-Reviewer: Jason Lowe-Power 
Gerrit-Reviewer: Matthias Jung 
Gerrit-MessageType: merged
___
gem5-dev mailing list
gem5-dev@gem5.org
http://m5sim.org/mailman/listinfo/gem5-dev

[gem5-dev] Change in gem5/gem5[master]: systemc: Rename tlm_core header files to have a .hh extentension.

2019-01-08 Thread Gabe Black (Gerrit)
Gabe Black has submitted this change and it was merged. (  
https://gem5-review.googlesource.com/c/public/gem5/+/15067 )


Change subject: systemc: Rename tlm_core header files to have a .hh  
extentension.

..

systemc: Rename tlm_core header files to have a .hh extentension.

This makes those files more consistent with other headers in gem5. The
top level headers (tlm and tlm.h) and the tlm_utils headers were left
alone since the user might reasonably expect those to have a well known
name. The tlm_core headers by comparison are an implementation detail,
and users shouldn't include them directly by name.

Change-Id: Ibc82e8159e47717c79d76f50ed96fdd619294a06
Reviewed-on: https://gem5-review.googlesource.com/c/15067
Reviewed-by: Anthony Gutierrez 
Maintainer: Anthony Gutierrez 
---
M src/systemc/ext/tlm
R src/systemc/ext/tlm_core/1/analysis/analysis.hh
R src/systemc/ext/tlm_core/1/analysis/analysis_fifo.hh
R src/systemc/ext/tlm_core/1/analysis/analysis_if.hh
R src/systemc/ext/tlm_core/1/analysis/analysis_port.hh
R src/systemc/ext/tlm_core/1/analysis/analysis_triple.hh
R src/systemc/ext/tlm_core/1/analysis/write_if.hh
R src/systemc/ext/tlm_core/1/req_rsp/adapters/adapters.hh
R src/systemc/ext/tlm_core/1/req_rsp/channels/fifo/circular_buffer.hh
R src/systemc/ext/tlm_core/1/req_rsp/channels/fifo/fifo.hh
R src/systemc/ext/tlm_core/1/req_rsp/channels/fifo/fifo_peek.hh
R src/systemc/ext/tlm_core/1/req_rsp/channels/fifo/fifo_put_get.hh
R src/systemc/ext/tlm_core/1/req_rsp/channels/fifo/fifo_resize.hh
R  
src/systemc/ext/tlm_core/1/req_rsp/channels/req_rsp_channels/put_get_imp.hh
R  
src/systemc/ext/tlm_core/1/req_rsp/channels/req_rsp_channels/req_rsp_channels.hh

R src/systemc/ext/tlm_core/1/req_rsp/interfaces/core_ifs.hh
R src/systemc/ext/tlm_core/1/req_rsp/interfaces/fifo_ifs.hh
R src/systemc/ext/tlm_core/1/req_rsp/interfaces/master_slave_ifs.hh
R src/systemc/ext/tlm_core/1/req_rsp/interfaces/tag.hh
R src/systemc/ext/tlm_core/1/req_rsp/ports/event_finder.hh
R src/systemc/ext/tlm_core/1/req_rsp/ports/nonblocking_port.hh
R src/systemc/ext/tlm_core/1/req_rsp/req_rsp.hh
R src/systemc/ext/tlm_core/2/generic_payload/array.hh
R src/systemc/ext/tlm_core/2/generic_payload/endian_conv.hh
R src/systemc/ext/tlm_core/2/generic_payload/generic_payload.hh
R src/systemc/ext/tlm_core/2/generic_payload/gp.hh
R src/systemc/ext/tlm_core/2/generic_payload/helpers.hh
R src/systemc/ext/tlm_core/2/generic_payload/phase.hh
R src/systemc/ext/tlm_core/2/interfaces/dmi.hh
R src/systemc/ext/tlm_core/2/interfaces/fw_bw_ifs.hh
D src/systemc/ext/tlm_core/2/interfaces/interfaces.h
C src/systemc/ext/tlm_core/2/interfaces/interfaces.hh
R src/systemc/ext/tlm_core/2/quantum/global_quantum.hh
R src/systemc/ext/tlm_core/2/quantum/quantum.hh
R src/systemc/ext/tlm_core/2/sockets/base_socket_if.hh
R src/systemc/ext/tlm_core/2/sockets/initiator_socket.hh
R src/systemc/ext/tlm_core/2/sockets/sockets.hh
R src/systemc/ext/tlm_core/2/sockets/target_socket.hh
R src/systemc/ext/tlm_core/2/version.hh
39 files changed, 164 insertions(+), 188 deletions(-)

Approvals:
  Anthony Gutierrez: Looks good to me, approved; Looks good to me, approved



diff --git a/src/systemc/ext/tlm b/src/systemc/ext/tlm
index 1516151..1ba078c 100644
--- a/src/systemc/ext/tlm
+++ b/src/systemc/ext/tlm
@@ -23,12 +23,12 @@
 #include 
 #include // main SystemC header

-#include "tlm_core/2/version.h"
-#include "tlm_core/1/analysis/analysis.h"
-#include "tlm_core/1/req_rsp/req_rsp.h"
-#include "tlm_core/2/interfaces/interfaces.h"
-#include "tlm_core/2/generic_payload/generic_payload.h"
-#include "tlm_core/2/sockets/sockets.h"
-#include "tlm_core/2/quantum/quantum.h"
+#include "tlm_core/2/version.hh"
+#include "tlm_core/1/analysis/analysis.hh"
+#include "tlm_core/1/req_rsp/req_rsp.hh"
+#include "tlm_core/2/interfaces/interfaces.hh"
+#include "tlm_core/2/generic_payload/generic_payload.hh"
+#include "tlm_core/2/sockets/sockets.hh"
+#include "tlm_core/2/quantum/quantum.hh"

 #endif /* __SYSTEMC_EXT_TLM__ */
diff --git a/src/systemc/ext/tlm_core/1/analysis/analysis.h  
b/src/systemc/ext/tlm_core/1/analysis/analysis.hh

similarity index 69%
rename from src/systemc/ext/tlm_core/1/analysis/analysis.h
rename to src/systemc/ext/tlm_core/1/analysis/analysis.hh
index 7e8b7e4..13858ac 100644
--- a/src/systemc/ext/tlm_core/1/analysis/analysis.h
+++ b/src/systemc/ext/tlm_core/1/analysis/analysis.hh
@@ -17,13 +17,13 @@

   
*/


-#ifndef __SYSTEMC_EXT_TLM_CORE_1_ANALYSIS_ANALYSIS_H__
-#define __SYSTEMC_EXT_TLM_CORE_1_ANALYSIS_ANALYSIS_H__
+#ifndef __SYSTEMC_EXT_TLM_CORE_1_ANALYSIS_ANALYSIS_HH__
+#define __SYSTEMC_EXT_TLM_CORE_1_ANALYSIS_ANALYSIS_HH__

-#include "tlm_core/1/analysis/analysis_fifo.h"
-#include "tlm_core/1/analysis/analysis_if.h"
-#include "tlm_core/1/analysis/analysis_port.h"
-#include "tlm_core/1/analysis/analysis_triple.h"
-#include 

[gem5-dev] Change in gem5/gem5[master]: systemc: Add a dummy argv[0] when running the tests.

2019-01-08 Thread Gabe Black (Gerrit)
Gabe Black has submitted this change and it was merged. (  
https://gem5-review.googlesource.com/c/public/gem5/+/15065 )


Change subject: systemc: Add a dummy argv[0] when running the tests.
..

systemc: Add a dummy argv[0] when running the tests.

One TLM test will complain if argc isn't 1 or 2, assuming that that
must mean that argc > 2. If it's 0 then the test will also complain and
fail. We therefore need to pass it at least a dummy value in argv/argc.

Change-Id: I5c64856f46d1459d7238e88ad8ba06933c7c38b8
Reviewed-on: https://gem5-review.googlesource.com/c/15065
Reviewed-by: Anthony Gutierrez 
Maintainer: Anthony Gutierrez 
---
M src/systemc/tests/config.py
1 file changed, 1 insertion(+), 1 deletion(-)

Approvals:
  Anthony Gutierrez: Looks good to me, approved; Looks good to me, approved



diff --git a/src/systemc/tests/config.py b/src/systemc/tests/config.py
index 7f8d840..ebdd5cd 100755
--- a/src/systemc/tests/config.py
+++ b/src/systemc/tests/config.py
@@ -47,7 +47,7 @@
 if args.working_dir:
 os.chdir(args.working_dir)

-kernel.sc_main();
+kernel.sc_main('gem5_systemc_test');

 m5.instantiate(None)


--
To view, visit https://gem5-review.googlesource.com/c/public/gem5/+/15065
To unsubscribe, or for help writing mail filters, visit  
https://gem5-review.googlesource.com/settings


Gerrit-Project: public/gem5
Gerrit-Branch: master
Gerrit-Change-Id: I5c64856f46d1459d7238e88ad8ba06933c7c38b8
Gerrit-Change-Number: 15065
Gerrit-PatchSet: 5
Gerrit-Owner: Gabe Black 
Gerrit-Reviewer: Andreas Sandberg 
Gerrit-Reviewer: Anthony Gutierrez 
Gerrit-Reviewer: Gabe Black 
Gerrit-Reviewer: Giacomo Travaglini 
Gerrit-Reviewer: Jason Lowe-Power 
Gerrit-Reviewer: Matthias Jung 
Gerrit-MessageType: merged
___
gem5-dev mailing list
gem5-dev@gem5.org
http://m5sim.org/mailman/listinfo/gem5-dev

[gem5-dev] Change in gem5/gem5[master]: systemc: Add an elaboration_done method to sc_simcontext.

2019-01-08 Thread Gabe Black (Gerrit)
Gabe Black has submitted this change and it was merged. (  
https://gem5-review.googlesource.com/c/public/gem5/+/15061 )


Change subject: systemc: Add an elaboration_done method to sc_simcontext.
..

systemc: Add an elaboration_done method to sc_simcontext.

The TLM headers rely on this non-standard function.

Change-Id: Iaedec35f1f363dcf3e1fcdb58a74eb2cdc05ddc0
Reviewed-on: https://gem5-review.googlesource.com/c/15061
Reviewed-by: Anthony Gutierrez 
Maintainer: Anthony Gutierrez 
---
M src/systemc/core/sc_simcontext.cc
M src/systemc/ext/core/sc_simcontext.hh
2 files changed, 9 insertions(+), 0 deletions(-)

Approvals:
  Anthony Gutierrez: Looks good to me, approved; Looks good to me, approved



diff --git a/src/systemc/core/sc_simcontext.cc  
b/src/systemc/core/sc_simcontext.cc

index f8cf3eb..4e13038 100644
--- a/src/systemc/core/sc_simcontext.cc
+++ b/src/systemc/core/sc_simcontext.cc
@@ -77,6 +77,12 @@
 return nullptr;
 }

+bool
+sc_simcontext::elaboration_done()
+{
+return ::sc_gem5::scheduler.elaborationDone();
+}
+
 sc_simcontext *
 sc_get_curr_simcontext()
 {
diff --git a/src/systemc/ext/core/sc_simcontext.hh  
b/src/systemc/ext/core/sc_simcontext.hh

index 4beb8b7..ce4e8f8 100644
--- a/src/systemc/ext/core/sc_simcontext.hh
+++ b/src/systemc/ext/core/sc_simcontext.hh
@@ -44,6 +44,9 @@
 sc_curr_proc_handle get_curr_proc_info();
 sc_object *first_object();
 sc_object *next_object();
+
+// Used by TLM.
+bool elaboration_done();
 };

 sc_simcontext *sc_get_curr_simcontext();

--
To view, visit https://gem5-review.googlesource.com/c/public/gem5/+/15061
To unsubscribe, or for help writing mail filters, visit  
https://gem5-review.googlesource.com/settings


Gerrit-Project: public/gem5
Gerrit-Branch: master
Gerrit-Change-Id: Iaedec35f1f363dcf3e1fcdb58a74eb2cdc05ddc0
Gerrit-Change-Number: 15061
Gerrit-PatchSet: 4
Gerrit-Owner: Gabe Black 
Gerrit-Reviewer: Andreas Sandberg 
Gerrit-Reviewer: Anthony Gutierrez 
Gerrit-Reviewer: Gabe Black 
Gerrit-Reviewer: Giacomo Travaglini 
Gerrit-Reviewer: Jason Lowe-Power 
Gerrit-Reviewer: Matthias Jung 
Gerrit-MessageType: merged
___
gem5-dev mailing list
gem5-dev@gem5.org
http://m5sim.org/mailman/listinfo/gem5-dev

[gem5-dev] Change in gem5/gem5[master]: systemc: Include cstring in the tlm header file.

2019-01-08 Thread Gabe Black (Gerrit)
Gabe Black has submitted this change and it was merged. (  
https://gem5-review.googlesource.com/c/public/gem5/+/15060 )


Change subject: systemc: Include cstring in the tlm header file.
..

systemc: Include cstring in the tlm header file.

A lot of TLM implementation assumes the string functions (memcpy,
memset, etc) are available, but don't include cstring. Lets do that
for them to avoid having to fix up a lot of places.

Change-Id: I1f26d3a227fe2cefc2613e24cbb0333216e46ee9
Reviewed-on: https://gem5-review.googlesource.com/c/15060
Reviewed-by: Anthony Gutierrez 
Maintainer: Anthony Gutierrez 
---
M src/systemc/ext/tlm
1 file changed, 1 insertion(+), 0 deletions(-)

Approvals:
  Anthony Gutierrez: Looks good to me, approved; Looks good to me, approved



diff --git a/src/systemc/ext/tlm b/src/systemc/ext/tlm
index 3e671f7..1516151 100644
--- a/src/systemc/ext/tlm
+++ b/src/systemc/ext/tlm
@@ -20,6 +20,7 @@
 #ifndef __SYSTEMC_EXT_TLM__
 #define __SYSTEMC_EXT_TLM__

+#include 
 #include // main SystemC header

 #include "tlm_core/2/version.h"

--
To view, visit https://gem5-review.googlesource.com/c/public/gem5/+/15060
To unsubscribe, or for help writing mail filters, visit  
https://gem5-review.googlesource.com/settings


Gerrit-Project: public/gem5
Gerrit-Branch: master
Gerrit-Change-Id: I1f26d3a227fe2cefc2613e24cbb0333216e46ee9
Gerrit-Change-Number: 15060
Gerrit-PatchSet: 5
Gerrit-Owner: Gabe Black 
Gerrit-Reviewer: Andreas Sandberg 
Gerrit-Reviewer: Anthony Gutierrez 
Gerrit-Reviewer: Gabe Black 
Gerrit-Reviewer: Giacomo Travaglini 
Gerrit-Reviewer: Jason Lowe-Power 
Gerrit-Reviewer: Matthias Jung 
Gerrit-MessageType: merged
___
gem5-dev mailing list
gem5-dev@gem5.org
http://m5sim.org/mailman/listinfo/gem5-dev

[gem5-dev] Change in gem5/gem5[master]: systemc: Stop using the non-standard sc_time % in TLM.

2019-01-08 Thread Gabe Black (Gerrit)
Gabe Black has submitted this change and it was merged. (  
https://gem5-review.googlesource.com/c/public/gem5/+/15062 )


Change subject: systemc: Stop using the non-standard sc_time % in TLM.
..

systemc: Stop using the non-standard sc_time % in TLM.

The TLM headers were using the non-standard % operator on sc_time.
This change replaces that with % applied to the result of
sc_time::value().

Change-Id: Ic381eb1ada2c994d04e65896db178f58446944ae
Reviewed-on: https://gem5-review.googlesource.com/c/15062
Reviewed-by: Anthony Gutierrez 
Maintainer: Anthony Gutierrez 
---
M src/systemc/tlm_core/2/quantum/global_quantum.cc
1 file changed, 2 insertions(+), 1 deletion(-)

Approvals:
  Anthony Gutierrez: Looks good to me, approved; Looks good to me, approved



diff --git a/src/systemc/tlm_core/2/quantum/global_quantum.cc  
b/src/systemc/tlm_core/2/quantum/global_quantum.cc

index 7ba44e3..69a68aa 100644
--- a/src/systemc/tlm_core/2/quantum/global_quantum.cc
+++ b/src/systemc/tlm_core/2/quantum/global_quantum.cc
@@ -40,7 +40,8 @@
 if (m_global_quantum != sc_core::SC_ZERO_TIME) {
 const sc_core::sc_time current = sc_core::sc_time_stamp();
 const sc_core::sc_time g_quant = m_global_quantum;
-return g_quant - (current % g_quant);
+return sc_core::sc_time::from_value(
+g_quant.value() - (current.value() % g_quant.value()));
 } else {
 return sc_core::SC_ZERO_TIME;
 }

--
To view, visit https://gem5-review.googlesource.com/c/public/gem5/+/15062
To unsubscribe, or for help writing mail filters, visit  
https://gem5-review.googlesource.com/settings


Gerrit-Project: public/gem5
Gerrit-Branch: master
Gerrit-Change-Id: Ic381eb1ada2c994d04e65896db178f58446944ae
Gerrit-Change-Number: 15062
Gerrit-PatchSet: 5
Gerrit-Owner: Gabe Black 
Gerrit-Reviewer: Andreas Sandberg 
Gerrit-Reviewer: Anthony Gutierrez 
Gerrit-Reviewer: Gabe Black 
Gerrit-Reviewer: Giacomo Travaglini 
Gerrit-Reviewer: Jason Lowe-Power 
Gerrit-Reviewer: Matthias Jung 
Gerrit-MessageType: merged
___
gem5-dev mailing list
gem5-dev@gem5.org
http://m5sim.org/mailman/listinfo/gem5-dev

[gem5-dev] Change in gem5/gem5[master]: systemc: Remove redundant tlm_ prefixes from file names.

2019-01-08 Thread Gabe Black (Gerrit)
Gabe Black has submitted this change and it was merged. (  
https://gem5-review.googlesource.com/c/public/gem5/+/15059 )


Change subject: systemc: Remove redundant tlm_ prefixes from file names.
..

systemc: Remove redundant tlm_ prefixes from file names.

We already know those files belong to tlm because of the directory
they're in. Removing the prefix makes the paths of the headers less
enormously long.

Change-Id: I869e58fae904162f353bb31f4c0919fba08dffa6
Reviewed-on: https://gem5-review.googlesource.com/c/15059
Reviewed-by: Anthony Gutierrez 
Maintainer: Anthony Gutierrez 
---
M src/systemc/ext/tlm
A src/systemc/ext/tlm_core/1/README.txt
C src/systemc/ext/tlm_core/1/analysis/analysis.h
R src/systemc/ext/tlm_core/1/analysis/analysis_fifo.h
R src/systemc/ext/tlm_core/1/analysis/analysis_if.h
R src/systemc/ext/tlm_core/1/analysis/analysis_port.h
R src/systemc/ext/tlm_core/1/analysis/analysis_triple.h
R src/systemc/ext/tlm_core/1/analysis/write_if.h
R src/systemc/ext/tlm_core/1/req_rsp/adapters/adapters.h
R src/systemc/ext/tlm_core/1/req_rsp/channels/fifo/circular_buffer.h
R src/systemc/ext/tlm_core/1/req_rsp/channels/fifo/fifo.h
R src/systemc/ext/tlm_core/1/req_rsp/channels/fifo/fifo_peek.h
R src/systemc/ext/tlm_core/1/req_rsp/channels/fifo/fifo_put_get.h
R src/systemc/ext/tlm_core/1/req_rsp/channels/fifo/fifo_resize.h
R src/systemc/ext/tlm_core/1/req_rsp/channels/req_rsp_channels/put_get_imp.h
R  
src/systemc/ext/tlm_core/1/req_rsp/channels/req_rsp_channels/req_rsp_channels.h

R src/systemc/ext/tlm_core/1/req_rsp/interfaces/core_ifs.h
R src/systemc/ext/tlm_core/1/req_rsp/interfaces/fifo_ifs.h
R src/systemc/ext/tlm_core/1/req_rsp/interfaces/master_slave_ifs.h
R src/systemc/ext/tlm_core/1/req_rsp/interfaces/tag.h
R src/systemc/ext/tlm_core/1/req_rsp/ports/event_finder.h
R src/systemc/ext/tlm_core/1/req_rsp/ports/nonblocking_port.h
R src/systemc/ext/tlm_core/1/req_rsp/req_rsp.h
R src/systemc/ext/tlm_core/2/README.txt
R src/systemc/ext/tlm_core/2/generic_payload/array.h
R src/systemc/ext/tlm_core/2/generic_payload/endian_conv.h
C src/systemc/ext/tlm_core/2/generic_payload/generic_payload.h
R src/systemc/ext/tlm_core/2/generic_payload/gp.h
R src/systemc/ext/tlm_core/2/generic_payload/helpers.h
R src/systemc/ext/tlm_core/2/generic_payload/phase.h
R src/systemc/ext/tlm_core/2/interfaces/dmi.h
R src/systemc/ext/tlm_core/2/interfaces/fw_bw_ifs.h
C src/systemc/ext/tlm_core/2/interfaces/interfaces.h
R src/systemc/ext/tlm_core/2/quantum/global_quantum.h
C src/systemc/ext/tlm_core/2/quantum/quantum.h
R src/systemc/ext/tlm_core/2/sockets/base_socket_if.h
R src/systemc/ext/tlm_core/2/sockets/initiator_socket.h
R src/systemc/ext/tlm_core/2/sockets/sockets.h
R src/systemc/ext/tlm_core/2/sockets/target_socket.h
R src/systemc/ext/tlm_core/2/version.h
D src/systemc/ext/tlm_core/tlm_1/README.txt
D src/systemc/ext/tlm_core/tlm_1/tlm_analysis/tlm_analysis.h
D src/systemc/ext/tlm_core/tlm_2/tlm_2_interfaces/tlm_2_interfaces.h
D src/systemc/ext/tlm_core/tlm_2/tlm_generic_payload/tlm_generic_payload.h
D src/systemc/ext/tlm_core/tlm_2/tlm_sockets/tlm_sockets.h
M src/systemc/ext/tlm_utils/tlm_quantumkeeper.h
R src/systemc/tlm_core/2/generic_payload/SConscript
R src/systemc/tlm_core/2/generic_payload/gp.cc
R src/systemc/tlm_core/2/generic_payload/phase.cc
R src/systemc/tlm_core/2/quantum/SConscript
R src/systemc/tlm_core/2/quantum/global_quantum.cc
51 files changed, 291 insertions(+), 440 deletions(-)

Approvals:
  Anthony Gutierrez: Looks good to me, approved; Looks good to me, approved



diff --git a/src/systemc/ext/tlm b/src/systemc/ext/tlm
index 5ba3e4f..3e671f7 100644
--- a/src/systemc/ext/tlm
+++ b/src/systemc/ext/tlm
@@ -22,12 +22,12 @@

 #include // main SystemC header

-#include "tlm_core/tlm_2/tlm_version.h"
-#include "tlm_core/tlm_1/tlm_analysis/tlm_analysis.h"
-#include "tlm_core/tlm_1/tlm_req_rsp/tlm_req_rsp.h"
-#include "tlm_core/tlm_2/tlm_2_interfaces/tlm_2_interfaces.h"
-#include "tlm_core/tlm_2/tlm_generic_payload/tlm_generic_payload.h"
-#include "tlm_core/tlm_2/tlm_sockets/tlm_sockets.h"
-#include "tlm_core/tlm_2/tlm_quantum/tlm_quantum.h"
+#include "tlm_core/2/version.h"
+#include "tlm_core/1/analysis/analysis.h"
+#include "tlm_core/1/req_rsp/req_rsp.h"
+#include "tlm_core/2/interfaces/interfaces.h"
+#include "tlm_core/2/generic_payload/generic_payload.h"
+#include "tlm_core/2/sockets/sockets.h"
+#include "tlm_core/2/quantum/quantum.h"

 #endif /* __SYSTEMC_EXT_TLM__ */
diff --git a/src/systemc/ext/tlm_core/1/README.txt  
b/src/systemc/ext/tlm_core/1/README.txt

new file mode 100644
index 000..7963e45
--- /dev/null
+++ b/src/systemc/ext/tlm_core/1/README.txt
@@ -0,0 +1,97 @@
+TLM-1.0 header files
+
+
+Dir: include/tlm_core/1/
+
+SubDirs: analysis/
+req_rsp/
+
+Files: README.txt
+
+
+Comments
+
+
+User code should only #include the tlm or tlm.h header file in the include/
+directory and avoid including 

[gem5-dev] Change in gem5/gem5[master]: systemc: Replace some calls to some Accellera specific functions in TLM.

2019-01-08 Thread Gabe Black (Gerrit)
Gabe Black has submitted this change and it was merged. (  
https://gem5-review.googlesource.com/c/public/gem5/+/15075 )


Change subject: systemc: Replace some calls to some Accellera specific  
functions in TLM.

..

systemc: Replace some calls to some Accellera specific functions in TLM.

The TLM event finder class was calling implementation specific
functions from the Accellera version of systemc. This change replaces those
calls with equivalent calls which match the gem5 implementation.

Change-Id: I0ecdb0a4bf09aeb1aad823a01105fbd88edb4601
Reviewed-on: https://gem5-review.googlesource.com/c/15075
Reviewed-by: Anthony Gutierrez 
Maintainer: Anthony Gutierrez 
---
M src/systemc/ext/tlm_core/tlm_1/tlm_req_rsp/tlm_ports/tlm_event_finder.h
1 file changed, 1 insertion(+), 1 deletion(-)

Approvals:
  Anthony Gutierrez: Looks good to me, approved; Looks good to me, approved



diff --git  
a/src/systemc/ext/tlm_core/tlm_1/tlm_req_rsp/tlm_ports/tlm_event_finder.h  
b/src/systemc/ext/tlm_core/tlm_1/tlm_req_rsp/tlm_ports/tlm_event_finder.h

index 75d7ffd..0f88d3f 100644
---  
a/src/systemc/ext/tlm_core/tlm_1/tlm_req_rsp/tlm_ports/tlm_event_finder.h
+++  
b/src/systemc/ext/tlm_core/tlm_1/tlm_req_rsp/tlm_ports/tlm_event_finder.h

@@ -55,7 +55,7 @@
 tlm_event_finder_t::find_event(sc_core::sc_interface *if_p) const
 {
 const IF *iface = if_p ? dynamic_cast(if_p) :
-dynamic_cast(port().get_interface());
+dynamic_cast(port()->_gem5Interface(0));
 if (iface == nullptr) {
 report_error(sc_core::SC_ID_FIND_EVENT_, "port is not bound");
 return sc_core::sc_event::none;

--
To view, visit https://gem5-review.googlesource.com/c/public/gem5/+/15075
To unsubscribe, or for help writing mail filters, visit  
https://gem5-review.googlesource.com/settings


Gerrit-Project: public/gem5
Gerrit-Branch: master
Gerrit-Change-Id: I0ecdb0a4bf09aeb1aad823a01105fbd88edb4601
Gerrit-Change-Number: 15075
Gerrit-PatchSet: 4
Gerrit-Owner: Gabe Black 
Gerrit-Reviewer: Andreas Sandberg 
Gerrit-Reviewer: Anthony Gutierrez 
Gerrit-Reviewer: Gabe Black 
Gerrit-Reviewer: Giacomo Travaglini 
Gerrit-Reviewer: Jason Lowe-Power 
Gerrit-Reviewer: Matthias Jung 
Gerrit-MessageType: merged
___
gem5-dev mailing list
gem5-dev@gem5.org
http://m5sim.org/mailman/listinfo/gem5-dev

[gem5-dev] Change in gem5/gem5[master]: systemc: Also look for tests in the tlm test directory.

2019-01-08 Thread Gabe Black (Gerrit)
Gabe Black has submitted this change and it was merged. (  
https://gem5-review.googlesource.com/c/public/gem5/+/15056 )


Change subject: systemc: Also look for tests in the tlm test directory.
..

systemc: Also look for tests in the tlm test directory.

Both basic systemc and tlm tests were present, but scons only looked
in the systemc directory when populating the test json. This change
makes it also look in the tlm directory so that those tests can be run.

Change-Id: Id65b744664350f6105fb3a4f28cbc7ab91d8c82e
Reviewed-on: https://gem5-review.googlesource.com/c/15056
Reviewed-by: Anthony Gutierrez 
Maintainer: Anthony Gutierrez 
---
M src/systemc/tests/SConscript
1 file changed, 1 insertion(+), 0 deletions(-)

Approvals:
  Anthony Gutierrez: Looks good to me, approved; Looks good to me, approved



diff --git a/src/systemc/tests/SConscript b/src/systemc/tests/SConscript
index 7450610..f7894aa 100644
--- a/src/systemc/tests/SConscript
+++ b/src/systemc/tests/SConscript
@@ -170,6 +170,7 @@
 os.path.walk(str(subdir_src), visitor, None)

 scan_dir_for_tests('systemc')
+scan_dir_for_tests('tlm')


 def build_tests_json(target, source, env):

--
To view, visit https://gem5-review.googlesource.com/c/public/gem5/+/15056
To unsubscribe, or for help writing mail filters, visit  
https://gem5-review.googlesource.com/settings


Gerrit-Project: public/gem5
Gerrit-Branch: master
Gerrit-Change-Id: Id65b744664350f6105fb3a4f28cbc7ab91d8c82e
Gerrit-Change-Number: 15056
Gerrit-PatchSet: 3
Gerrit-Owner: Gabe Black 
Gerrit-Reviewer: Andreas Sandberg 
Gerrit-Reviewer: Anthony Gutierrez 
Gerrit-Reviewer: Gabe Black 
Gerrit-Reviewer: Giacomo Travaglini 
Gerrit-Reviewer: Jason Lowe-Power 
Gerrit-Reviewer: Matthias Jung 
Gerrit-MessageType: merged
___
gem5-dev mailing list
gem5-dev@gem5.org
http://m5sim.org/mailman/listinfo/gem5-dev

[gem5-dev] Change in gem5/gem5[master]: systemc: Fix style issues in the TLM header files.

2019-01-08 Thread Gabe Black (Gerrit)
Gabe Black has submitted this change and it was merged. (  
https://gem5-review.googlesource.com/c/public/gem5/+/15057 )


Change subject: systemc: Fix style issues in the TLM header files.
..

systemc: Fix style issues in the TLM header files.

Change-Id: Id12ffb9b56b3887afcf30120f81ce5eb75a5987b
Reviewed-on: https://gem5-review.googlesource.com/c/15057
Reviewed-by: Anthony Gutierrez 
Maintainer: Anthony Gutierrez 
---
M src/systemc/ext/tlm
D src/systemc/ext/tlm_core/Makefile.am
D src/systemc/ext/tlm_core/Makefile.in
M src/systemc/ext/tlm_core/tlm_1/tlm_analysis/tlm_analysis.h
M src/systemc/ext/tlm_core/tlm_1/tlm_analysis/tlm_analysis_fifo.h
M src/systemc/ext/tlm_core/tlm_1/tlm_analysis/tlm_analysis_if.h
M src/systemc/ext/tlm_core/tlm_1/tlm_analysis/tlm_analysis_port.h
M src/systemc/ext/tlm_core/tlm_1/tlm_analysis/tlm_analysis_triple.h
M src/systemc/ext/tlm_core/tlm_1/tlm_analysis/tlm_write_if.h
M src/systemc/ext/tlm_core/tlm_1/tlm_req_rsp/tlm_1_interfaces/tlm_core_ifs.h
M src/systemc/ext/tlm_core/tlm_1/tlm_req_rsp/tlm_1_interfaces/tlm_fifo_ifs.h
M  
src/systemc/ext/tlm_core/tlm_1/tlm_req_rsp/tlm_1_interfaces/tlm_master_slave_ifs.h

M src/systemc/ext/tlm_core/tlm_1/tlm_req_rsp/tlm_1_interfaces/tlm_tag.h
M src/systemc/ext/tlm_core/tlm_1/tlm_req_rsp/tlm_adapters/tlm_adapters.h
M  
src/systemc/ext/tlm_core/tlm_1/tlm_req_rsp/tlm_channels/tlm_fifo/circular_buffer.h
M  
src/systemc/ext/tlm_core/tlm_1/tlm_req_rsp/tlm_channels/tlm_fifo/tlm_fifo.h
M  
src/systemc/ext/tlm_core/tlm_1/tlm_req_rsp/tlm_channels/tlm_fifo/tlm_fifo_peek.h
M  
src/systemc/ext/tlm_core/tlm_1/tlm_req_rsp/tlm_channels/tlm_fifo/tlm_fifo_put_get.h
M  
src/systemc/ext/tlm_core/tlm_1/tlm_req_rsp/tlm_channels/tlm_fifo/tlm_fifo_resize.h
M  
src/systemc/ext/tlm_core/tlm_1/tlm_req_rsp/tlm_channels/tlm_req_rsp_channels/tlm_put_get_imp.h
M  
src/systemc/ext/tlm_core/tlm_1/tlm_req_rsp/tlm_channels/tlm_req_rsp_channels/tlm_req_rsp_channels.h

M src/systemc/ext/tlm_core/tlm_1/tlm_req_rsp/tlm_ports/tlm_event_finder.h
M  
src/systemc/ext/tlm_core/tlm_1/tlm_req_rsp/tlm_ports/tlm_nonblocking_port.h

M src/systemc/ext/tlm_core/tlm_1/tlm_req_rsp/tlm_req_rsp.h
M src/systemc/ext/tlm_core/tlm_2/tlm_2_interfaces/tlm_2_interfaces.h
M src/systemc/ext/tlm_core/tlm_2/tlm_2_interfaces/tlm_dmi.h
M src/systemc/ext/tlm_core/tlm_2/tlm_2_interfaces/tlm_fw_bw_ifs.h
M src/systemc/ext/tlm_core/tlm_2/tlm_generic_payload/tlm_array.h
M src/systemc/ext/tlm_core/tlm_2/tlm_generic_payload/tlm_endian_conv.h
M src/systemc/ext/tlm_core/tlm_2/tlm_generic_payload/tlm_generic_payload.h
M src/systemc/ext/tlm_core/tlm_2/tlm_generic_payload/tlm_gp.h
M src/systemc/ext/tlm_core/tlm_2/tlm_generic_payload/tlm_helpers.h
M src/systemc/ext/tlm_core/tlm_2/tlm_generic_payload/tlm_phase.h
M src/systemc/ext/tlm_core/tlm_2/tlm_quantum/tlm_global_quantum.h
M src/systemc/ext/tlm_core/tlm_2/tlm_quantum/tlm_quantum.h
M src/systemc/ext/tlm_core/tlm_2/tlm_sockets/tlm_base_socket_if.h
M src/systemc/ext/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h
M src/systemc/ext/tlm_core/tlm_2/tlm_sockets/tlm_sockets.h
M src/systemc/ext/tlm_core/tlm_2/tlm_sockets/tlm_target_socket.h
M src/systemc/ext/tlm_core/tlm_2/tlm_version.h
D src/systemc/ext/tlm_utils/Makefile.am
D src/systemc/ext/tlm_utils/Makefile.in
M src/systemc/ext/tlm_utils/convenience_socket_bases.h
M src/systemc/ext/tlm_utils/instance_specific_extensions.h
M src/systemc/ext/tlm_utils/instance_specific_extensions_int.h
M src/systemc/ext/tlm_utils/multi_passthrough_initiator_socket.h
M src/systemc/ext/tlm_utils/multi_passthrough_target_socket.h
M src/systemc/ext/tlm_utils/multi_socket_bases.h
M src/systemc/ext/tlm_utils/passthrough_target_socket.h
M src/systemc/ext/tlm_utils/peq_with_cb_and_phase.h
M src/systemc/ext/tlm_utils/peq_with_get.h
M src/systemc/ext/tlm_utils/simple_initiator_socket.h
M src/systemc/ext/tlm_utils/simple_target_socket.h
M src/systemc/ext/tlm_utils/tlm_quantumkeeper.h
M src/systemc/tlm_core/tlm_2/tlm_generic_payload/tlm_gp.cpp
M src/systemc/tlm_core/tlm_2/tlm_generic_payload/tlm_phase.cpp
M src/systemc/tlm_core/tlm_2/tlm_quantum/tlm_global_quantum.cpp
M src/systemc/tlm_utils/convenience_socket_bases.cpp
M src/systemc/tlm_utils/instance_specific_extensions.cpp
59 files changed, 6,282 insertions(+), 7,759 deletions(-)

Approvals:
  Anthony Gutierrez: Looks good to me, approved; Looks good to me, approved




--
To view, visit https://gem5-review.googlesource.com/c/public/gem5/+/15057
To unsubscribe, or for help writing mail filters, visit  
https://gem5-review.googlesource.com/settings


Gerrit-Project: public/gem5
Gerrit-Branch: master
Gerrit-Change-Id: Id12ffb9b56b3887afcf30120f81ce5eb75a5987b
Gerrit-Change-Number: 15057
Gerrit-PatchSet: 5
Gerrit-Owner: Gabe Black 
Gerrit-Reviewer: Andreas Sandberg 
Gerrit-Reviewer: Anthony Gutierrez 
Gerrit-Reviewer: Gabe Black 
Gerrit-Reviewer: Giacomo Travaglini 
Gerrit-Reviewer: Jason Lowe-Power 
Gerrit-Reviewer: Matthias Jung 

[gem5-dev] Change in gem5/gem5[master]: systemc: Initial import of TLM headers from Accellera.

2019-01-08 Thread Gabe Black (Gerrit)
Gabe Black has submitted this change and it was merged. (  
https://gem5-review.googlesource.com/c/public/gem5/+/15055 )


Change subject: systemc: Initial import of TLM headers from Accellera.
..

systemc: Initial import of TLM headers from Accellera.

These headers will need to be cleaned up and have some Accellera
specific quirks ironed out of them, but I'll do that in a later change
to make it clear what those changes are.

Change-Id: Ia4e08633ab552b4c616c66c9b7e2bbd78ebfe7b9
Reviewed-on: https://gem5-review.googlesource.com/c/15055
Reviewed-by: Anthony Gutierrez 
Maintainer: Anthony Gutierrez 
---
A src/systemc/ext/tlm
A src/systemc/ext/tlm.h
A src/systemc/ext/tlm_core/Makefile.am
A src/systemc/ext/tlm_core/Makefile.in
A src/systemc/ext/tlm_core/tlm_1/README.txt
A src/systemc/ext/tlm_core/tlm_1/tlm_analysis/tlm_analysis.h
A src/systemc/ext/tlm_core/tlm_1/tlm_analysis/tlm_analysis_fifo.h
A src/systemc/ext/tlm_core/tlm_1/tlm_analysis/tlm_analysis_if.h
A src/systemc/ext/tlm_core/tlm_1/tlm_analysis/tlm_analysis_port.h
A src/systemc/ext/tlm_core/tlm_1/tlm_analysis/tlm_analysis_triple.h
A src/systemc/ext/tlm_core/tlm_1/tlm_analysis/tlm_write_if.h
A src/systemc/ext/tlm_core/tlm_1/tlm_req_rsp/tlm_1_interfaces/tlm_core_ifs.h
A src/systemc/ext/tlm_core/tlm_1/tlm_req_rsp/tlm_1_interfaces/tlm_fifo_ifs.h
A  
src/systemc/ext/tlm_core/tlm_1/tlm_req_rsp/tlm_1_interfaces/tlm_master_slave_ifs.h

A src/systemc/ext/tlm_core/tlm_1/tlm_req_rsp/tlm_1_interfaces/tlm_tag.h
A src/systemc/ext/tlm_core/tlm_1/tlm_req_rsp/tlm_adapters/tlm_adapters.h
A  
src/systemc/ext/tlm_core/tlm_1/tlm_req_rsp/tlm_channels/tlm_fifo/circular_buffer.h
A  
src/systemc/ext/tlm_core/tlm_1/tlm_req_rsp/tlm_channels/tlm_fifo/tlm_fifo.h
A  
src/systemc/ext/tlm_core/tlm_1/tlm_req_rsp/tlm_channels/tlm_fifo/tlm_fifo_peek.h
A  
src/systemc/ext/tlm_core/tlm_1/tlm_req_rsp/tlm_channels/tlm_fifo/tlm_fifo_put_get.h
A  
src/systemc/ext/tlm_core/tlm_1/tlm_req_rsp/tlm_channels/tlm_fifo/tlm_fifo_resize.h
A  
src/systemc/ext/tlm_core/tlm_1/tlm_req_rsp/tlm_channels/tlm_req_rsp_channels/tlm_put_get_imp.h
A  
src/systemc/ext/tlm_core/tlm_1/tlm_req_rsp/tlm_channels/tlm_req_rsp_channels/tlm_req_rsp_channels.h

A src/systemc/ext/tlm_core/tlm_1/tlm_req_rsp/tlm_ports/tlm_event_finder.h
A  
src/systemc/ext/tlm_core/tlm_1/tlm_req_rsp/tlm_ports/tlm_nonblocking_port.h

A src/systemc/ext/tlm_core/tlm_1/tlm_req_rsp/tlm_req_rsp.h
A src/systemc/ext/tlm_core/tlm_2/README.txt
A src/systemc/ext/tlm_core/tlm_2/tlm_2_interfaces/tlm_2_interfaces.h
A src/systemc/ext/tlm_core/tlm_2/tlm_2_interfaces/tlm_dmi.h
A src/systemc/ext/tlm_core/tlm_2/tlm_2_interfaces/tlm_fw_bw_ifs.h
A src/systemc/ext/tlm_core/tlm_2/tlm_generic_payload/tlm_array.h
A src/systemc/ext/tlm_core/tlm_2/tlm_generic_payload/tlm_endian_conv.h
A src/systemc/ext/tlm_core/tlm_2/tlm_generic_payload/tlm_generic_payload.h
A src/systemc/ext/tlm_core/tlm_2/tlm_generic_payload/tlm_gp.h
A src/systemc/ext/tlm_core/tlm_2/tlm_generic_payload/tlm_helpers.h
A src/systemc/ext/tlm_core/tlm_2/tlm_generic_payload/tlm_phase.h
A src/systemc/ext/tlm_core/tlm_2/tlm_quantum/tlm_global_quantum.h
A src/systemc/ext/tlm_core/tlm_2/tlm_quantum/tlm_quantum.h
A src/systemc/ext/tlm_core/tlm_2/tlm_sockets/tlm_base_socket_if.h
A src/systemc/ext/tlm_core/tlm_2/tlm_sockets/tlm_initiator_socket.h
A src/systemc/ext/tlm_core/tlm_2/tlm_sockets/tlm_sockets.h
A src/systemc/ext/tlm_core/tlm_2/tlm_sockets/tlm_target_socket.h
A src/systemc/ext/tlm_core/tlm_2/tlm_version.h
A src/systemc/ext/tlm_utils/Makefile.am
A src/systemc/ext/tlm_utils/Makefile.in
A src/systemc/ext/tlm_utils/README.txt
A src/systemc/ext/tlm_utils/convenience_socket_bases.h
A src/systemc/ext/tlm_utils/instance_specific_extensions.h
A src/systemc/ext/tlm_utils/instance_specific_extensions_int.h
A src/systemc/ext/tlm_utils/multi_passthrough_initiator_socket.h
A src/systemc/ext/tlm_utils/multi_passthrough_target_socket.h
A src/systemc/ext/tlm_utils/multi_socket_bases.h
A src/systemc/ext/tlm_utils/passthrough_target_socket.h
A src/systemc/ext/tlm_utils/peq_with_cb_and_phase.h
A src/systemc/ext/tlm_utils/peq_with_get.h
A src/systemc/ext/tlm_utils/simple_initiator_socket.h
A src/systemc/ext/tlm_utils/simple_target_socket.h
A src/systemc/ext/tlm_utils/tlm_quantumkeeper.h
A src/systemc/tlm_core/tlm_2/tlm_generic_payload/tlm_gp.cpp
A src/systemc/tlm_core/tlm_2/tlm_generic_payload/tlm_phase.cpp
A src/systemc/tlm_core/tlm_2/tlm_quantum/tlm_global_quantum.cpp
A src/systemc/tlm_utils/convenience_socket_bases.cpp
A src/systemc/tlm_utils/instance_specific_extensions.cpp
63 files changed, 11,667 insertions(+), 0 deletions(-)

Approvals:
  Anthony Gutierrez: Looks good to me, approved; Looks good to me, approved




--
To view, visit https://gem5-review.googlesource.com/c/public/gem5/+/15055
To unsubscribe, or for help writing mail filters, visit  
https://gem5-review.googlesource.com/settings


Gerrit-Project: public/gem5
Gerrit-Branch: master

[gem5-dev] Change in gem5/gem5[master]: scons: added support of default Python installation on MacOS

2019-01-08 Thread Andrea Mondelli (Gerrit)

Hello Andrea Mondelli,

I'd like you to do a code review. Please visit

https://gem5-review.googlesource.com/c/public/gem5/+/15375

to review the following change.


Change subject: scons: added support of default Python installation on MacOS
..

scons: added support of default Python installation on MacOS

Recent MacOS versions are distributed with python 2.7.
This version of python is sufficient to compile and run gem5.

This patch allows to use the default python instead of the version provided
by third-party tools (e.g., brew)

The default MacOS LLDB debugger is linked against the default python
installation, which conflicts with Python framework provided by third-party
package systems.

This patch removes the need of gem5 to have multiple python installations
on MacOS, if not explicitly installed.

Change-Id: I9533c0f7858b5b3cab0ef101be1ee5cd718105b0
---
M SConstruct
M ext/mcpat/regression/regression.py
M ext/mcpat/regression/verify_output.py
M ext/ply/example/classcalc/calc.py
M ext/ply/example/newclasscalc/calc.py
M src/systemc/tests/verify.py
M src/unittest/genini.py
M tests/main.py
M tests/testing/__init__.py
M tests/testing/helpers.py
M tests/testing/results.py
M tests/testing/tests.py
M tests/testing/units.py
M tests/tests.py
M util/batch/job.py
M util/batch/send.py
M util/checkpoint-tester.py
M util/compile
M util/cpt_upgrader.py
M util/decode_inst_dep_trace.py
M util/decode_inst_trace.py
M util/decode_packet_trace.py
M util/encode_inst_dep_trace.py
M util/encode_packet_trace.py
M util/find_copyrights.py
M util/gen_arm_fs_files.py
M util/git-pre-commit.py
M util/hgstyle.py
M util/maint/list_changes.py
M util/maint/show_changes_by_file.py
M util/memtest-soak.py
M util/minorview.py
M util/o3-pipeview.py
M util/oprofile-top.py
M util/pbs/job.py
M util/pbs/send.py
M util/plot_dram/dram_lat_mem_rd_plot.py
M util/plot_dram/dram_sweep_plot.py
M util/protolib.py
M util/qdo
M util/regress
M util/slicc
M util/stats/stats.py
M util/streamline/m5stats2streamline.py
M util/style.py
M util/style/__init__.py
M util/style/repo.py
M util/style/sort_includes.py
M util/style/style.py
M util/style/verifiers.py
50 files changed, 57 insertions(+), 50 deletions(-)



diff --git a/SConstruct b/SConstruct
index 0a8cd0e..f15caa5 100755
--- a/SConstruct
+++ b/SConstruct
@@ -712,7 +712,14 @@
   exception='').split()
 # Strip the -I from the include folders before adding them to the
 # CPPPATH
-main.Append(CPPPATH=map(lambda inc: inc[2:], py_includes))
+if (
+sys.platform == "darwin" and
+len(filter (lambda x : x == '-iwithsysroot', py_includes)) > 0
+) :
+# Default MacOS Python
+main.Append(CPPPATH=py_includes)
+else:
+main.Append(CPPPATH=map(lambda inc: inc[2:], py_includes))

 # Read the linker flags and split them into libraries and other link
 # flags. The libraries are added later through the call the CheckLib.
diff --git a/ext/mcpat/regression/regression.py  
b/ext/mcpat/regression/regression.py

index 0115a56..ddfa81b 100755
--- a/ext/mcpat/regression/regression.py
+++ b/ext/mcpat/regression/regression.py
@@ -1,4 +1,4 @@
-#!/usr/bin/env python2
+#!/usr/bin/env python2.7

 # Copyright (c) 2010-2013 Advanced Micro Devices, Inc.
 # All rights reserved.
diff --git a/ext/mcpat/regression/verify_output.py  
b/ext/mcpat/regression/verify_output.py

index aaa7592..83e3e89 100644
--- a/ext/mcpat/regression/verify_output.py
+++ b/ext/mcpat/regression/verify_output.py
@@ -1,4 +1,4 @@
-#!/usr/bin/env python2
+#!/usr/bin/env python2.7

 # Copyright (c) 2010-2013 Advanced Micro Devices, Inc.
 # All rights reserved.
diff --git a/ext/ply/example/classcalc/calc.py  
b/ext/ply/example/classcalc/calc.py

index f359197..b0712fc 100755
--- a/ext/ply/example/classcalc/calc.py
+++ b/ext/ply/example/classcalc/calc.py
@@ -1,4 +1,4 @@
-#!/usr/bin/env python2
+#!/usr/bin/env python2.7

 #  
-

 # calc.py
diff --git a/ext/ply/example/newclasscalc/calc.py  
b/ext/ply/example/newclasscalc/calc.py

index 3461f24..5a8db84 100755
--- a/ext/ply/example/newclasscalc/calc.py
+++ b/ext/ply/example/newclasscalc/calc.py
@@ -1,4 +1,4 @@
-#!/usr/bin/env python2
+#!/usr/bin/env python2.7

 #  
-

 # calc.py
diff --git a/src/systemc/tests/verify.py b/src/systemc/tests/verify.py
index def0fdc..503c11d 100755
--- a/src/systemc/tests/verify.py
+++ b/src/systemc/tests/verify.py
@@ -1,4 +1,4 @@
-#!/usr/bin/env python2
+#!/usr/bin/env python2.7
 #
 # Copyright 2018 Google, Inc.
 #
diff --git a/src/unittest/genini.py b/src/unittest/genini.py
index 5a4f345..f10ad68 100755
--- a/src/unittest/genini.py
+++ b/src/unittest/genini.py
@@ -1,4 +1,4 @@
-#!/usr/bin/env python2
+#!/usr/bin/env python2.7
 # Copyright (c) 2005 The Regents of The 

[gem5-dev] Change in gem5/gem5[master]: arch-riscv: Enable support for riscv 32-bit in SE mode.

2019-01-08 Thread Austin Harris (Gerrit)

Hello Gabe Black, Alec Roelke,

I'd like you to reexamine a change. Please visit

https://gem5-review.googlesource.com/c/public/gem5/+/15355

to look at the new patch set (#2).

Change subject: arch-riscv: Enable support for riscv 32-bit in SE mode.
..

arch-riscv: Enable support for riscv 32-bit in SE mode.

This patch splits up the riscv SE mode support for 32 and 64-bit.
A future patch will add support for decoding rv32 instructions.

Change-Id: Ia79ae19f753caf94dc7e5830a6630efb94b419d7
---
M src/arch/riscv/linux/linux.cc
M src/arch/riscv/linux/linux.hh
M src/arch/riscv/linux/process.cc
M src/arch/riscv/linux/process.hh
M src/arch/riscv/process.cc
M src/arch/riscv/process.hh
M src/arch/riscv/types.hh
M src/base/loader/elf_object.cc
M src/base/loader/object_file.hh
M src/sim/process.cc
10 files changed, 682 insertions(+), 82 deletions(-)


--
To view, visit https://gem5-review.googlesource.com/c/public/gem5/+/15355
To unsubscribe, or for help writing mail filters, visit  
https://gem5-review.googlesource.com/settings


Gerrit-Project: public/gem5
Gerrit-Branch: master
Gerrit-Change-Id: Ia79ae19f753caf94dc7e5830a6630efb94b419d7
Gerrit-Change-Number: 15355
Gerrit-PatchSet: 2
Gerrit-Owner: Austin Harris 
Gerrit-Reviewer: Alec Roelke 
Gerrit-Reviewer: Austin Harris 
Gerrit-Reviewer: Gabe Black 
Gerrit-MessageType: newpatchset
___
gem5-dev mailing list
gem5-dev@gem5.org
http://m5sim.org/mailman/listinfo/gem5-dev

[gem5-dev] Change in gem5/gem5[master]: arch-riscv: Enable support for riscv 32-bit in SE mode.

2019-01-08 Thread Austin Harris (Gerrit)
Austin Harris has uploaded this change for review. (  
https://gem5-review.googlesource.com/c/public/gem5/+/15355



Change subject: arch-riscv: Enable support for riscv 32-bit in SE mode.
..

arch-riscv: Enable support for riscv 32-bit in SE mode.

This patch splits up the riscv SE mode support for 32 and 64-bit.
A future patch will add support for decoding rv32 instructions.

Change-Id: Ia79ae19f753caf94dc7e5830a6630efb94b419d7
---
M src/arch/riscv/linux/linux.cc
M src/arch/riscv/linux/linux.hh
M src/arch/riscv/linux/process.cc
M src/arch/riscv/linux/process.hh
M src/arch/riscv/process.cc
M src/arch/riscv/process.hh
M src/arch/riscv/types.hh
M src/base/loader/elf_object.cc
M src/base/loader/object_file.hh
M src/sim/process.cc
10 files changed, 683 insertions(+), 82 deletions(-)



diff --git a/src/arch/riscv/linux/linux.cc b/src/arch/riscv/linux/linux.cc
index 39fce22..65b4306 100644
--- a/src/arch/riscv/linux/linux.cc
+++ b/src/arch/riscv/linux/linux.cc
@@ -33,5 +33,9 @@
 #include 
 #include 

-#define TARGET RiscvLinux
+#define TARGET RiscvLinux64
+#include "kern/linux/flag_tables.hh"
+
+#undef TARGET
+#define TARGET RiscvLinux32
 #include "kern/linux/flag_tables.hh"
diff --git a/src/arch/riscv/linux/linux.hh b/src/arch/riscv/linux/linux.hh
index cfc1d4f..d3f04a3 100644
--- a/src/arch/riscv/linux/linux.hh
+++ b/src/arch/riscv/linux/linux.hh
@@ -33,7 +33,7 @@

 #include "kern/linux/linux.hh"

-class RiscvLinux : public Linux
+class RiscvLinux64 : public Linux
 {
   public:
 static const int TGT_SIGHUP =  1;
@@ -189,4 +189,167 @@
 } tgt_sysinfo;
 };

+class RiscvLinux32 : public Linux
+{
+  public:
+static const int TGT_SIGHUP =  1;
+static const int TGT_SIGINT =  2;
+static const int TGT_SIGQUIT=  3;
+static const int TGT_SIGILL =  4;
+static const int TGT_SIGTRAP=  5;
+static const int TGT_SIGABRT=  6;
+static const int TGT_SIGIOT =  6;
+static const int TGT_SIGEMT =  7;
+static const int TGT_SIGFPE =  8;
+static const int TGT_SIGKILL=  9;
+static const int TGT_SIGBUS = 10;
+static const int TGT_SIGSEGV= 11;
+static const int TGT_SIGSYS = 12;
+static const int TGT_SIGPIPE= 13;
+static const int TGT_SIGALRM= 14;
+static const int TGT_SIGTERM= 15;
+static const int TGT_SIGURG = 16;
+static const int TGT_SIGSTOP= 17;
+static const int TGT_SIGTSTP= 18;
+static const int TGT_SIGCONT= 19;
+static const int TGT_SIGCHLD= 20;
+static const int TGT_SIGCLD = 20;
+static const int TGT_SIGTTIN= 21;
+static const int TGT_SIGTTOU= 22;
+static const int TGT_SIGPOLL= 23;
+static const int TGT_SIGIO  = 23;
+static const int TGT_SIGXCPU= 24;
+static const int TGT_SIGXFSZ= 25;
+static const int TGT_SIGVTALRM  = 26;
+static const int TGT_SIGPROF= 27;
+static const int TGT_SIGWINCH   = 28;
+static const int TGT_SIGLOST= 29;
+static const int TGT_SIGPWR = 29;
+static const int TGT_SIGUSR1= 30;
+static const int TGT_SIGUSR2= 31;
+
+/// This table maps the target open() flags to the corresponding
+/// host open() flags.
+static SyscallFlagTransTable openFlagTable[];
+
+/// Number of entries in openFlagTable[].
+static const int NUM_OPEN_FLAGS;
+
+//@{
+/// open(2) flag values.
+static const int TGT_O_RDONLY   = 0x00; //!< O_RDONLY
+static const int TGT_O_WRONLY   = 0x01; //!< O_WRONLY
+static const int TGT_O_RDWR = 0x02; //!< O_RDWR
+static const int TGT_O_CREAT= 0x40; //!< O_CREAT
+static const int TGT_O_EXCL = 0x80; //!< O_EXCL
+static const int TGT_O_NOCTTY   = 0x000100; //!< O_NOCTTY
+static const int TGT_O_TRUNC= 0x000200; //!< O_TRUNC
+static const int TGT_O_APPEND   = 0x000400; //!< O_APPEND
+static const int TGT_O_NONBLOCK = 0x000800; //!< O_NONBLOCK
+static const int TGT_O_SYNC = 0x001000; //!< O_SYNC
+static const int TGT_FSYNC  = 0x001000; //!< FSYNC
+static const int TGT_FASYNC = 0x008000; //!< FASYNC
+// The following are not present in riscv64-unknown-elf 
+static const int TGT_O_DSYNC= 0x01; //!< O_DSYNC
+static const int TGT_O_CLOEXEC  = 0x04; //!< O_CLOEXEC
+static const int TGT_O_NOINHERIT= 0x04; //!< O_NOINHERIT
+static const int TGT_O_DIRECT   = 0x08; //!< O_DIRECT
+static const int TGT_O_NOFOLLOW = 0x10; //!< O_NOFOLLOW
+static const int TGT_O_DIRECTORY= 0x20; //!< O_DIRECTORY
+// The following are not defined by riscv64-unknown-elf
+static const int 

[gem5-dev] Change in gem5/gem5[master]: arch-arm: Additional bits in misc ARM registers to use with the TLB a...

2019-01-08 Thread Ivan Pizarro (Gerrit)

Hello Nikos Nikoleris, Giacomo Travaglini, Andreas Sandberg,

I'd like you to reexamine a change. Please visit

https://gem5-review.googlesource.com/c/public/gem5/+/14555

to look at the new patch set (#6).

Change subject: arch-arm: Additional bits in misc ARM registers to use with  
the TLB and page walker

..

arch-arm: Additional bits in misc ARM registers to use with the TLB and  
page walker


Change-Id: I71a6360709b35ad788d8c88fba1a7a2761233dbd
---
M src/arch/arm/miscregs_types.hh
1 file changed, 10 insertions(+), 0 deletions(-)


--
To view, visit https://gem5-review.googlesource.com/c/public/gem5/+/14555
To unsubscribe, or for help writing mail filters, visit  
https://gem5-review.googlesource.com/settings


Gerrit-Project: public/gem5
Gerrit-Branch: master
Gerrit-Change-Id: I71a6360709b35ad788d8c88fba1a7a2761233dbd
Gerrit-Change-Number: 14555
Gerrit-PatchSet: 6
Gerrit-Owner: Ivan Pizarro 
Gerrit-Reviewer: Andreas Sandberg 
Gerrit-Reviewer: Giacomo Travaglini 
Gerrit-Reviewer: Ivan Pizarro 
Gerrit-Reviewer: Nikos Nikoleris 
Gerrit-MessageType: newpatchset
___
gem5-dev mailing list
gem5-dev@gem5.org
http://m5sim.org/mailman/listinfo/gem5-dev

[gem5-dev] Change in gem5/gem5[master]: arch-arm: Additional bits in misc ARM registers to use with the TLB a...

2019-01-08 Thread Ivan Pizarro (Gerrit)

Hello Nikos Nikoleris, Giacomo Travaglini, Andreas Sandberg,

I'd like you to reexamine a change. Please visit

https://gem5-review.googlesource.com/c/public/gem5/+/14555

to look at the new patch set (#5).

Change subject: arch-arm: Additional bits in misc ARM registers to use with  
the TLB and page walker

..

arch-arm: Additional bits in misc ARM registers to use with the TLB and  
page walker


Change-Id: I71a6360709b35ad788d8c88fba1a7a2761233dbd
---
M src/arch/arm/miscregs_types.hh
1 file changed, 11 insertions(+), 0 deletions(-)


--
To view, visit https://gem5-review.googlesource.com/c/public/gem5/+/14555
To unsubscribe, or for help writing mail filters, visit  
https://gem5-review.googlesource.com/settings


Gerrit-Project: public/gem5
Gerrit-Branch: master
Gerrit-Change-Id: I71a6360709b35ad788d8c88fba1a7a2761233dbd
Gerrit-Change-Number: 14555
Gerrit-PatchSet: 5
Gerrit-Owner: Ivan Pizarro 
Gerrit-Reviewer: Andreas Sandberg 
Gerrit-Reviewer: Giacomo Travaglini 
Gerrit-Reviewer: Ivan Pizarro 
Gerrit-Reviewer: Nikos Nikoleris 
Gerrit-MessageType: newpatchset
___
gem5-dev mailing list
gem5-dev@gem5.org
http://m5sim.org/mailman/listinfo/gem5-dev

[gem5-dev] Change in gem5/gem5[master]: base: Make it possible to convert strings to enums

2019-01-08 Thread Giacomo Travaglini (Gerrit)

Hello Nikos Nikoleris, Andreas Sandberg, Ciro Santilli,

I'd like you to do a code review. Please visit

https://gem5-review.googlesource.com/c/public/gem5/+/15336

to review the following change.


Change subject: base: Make it possible to convert strings to enums
..

base: Make it possible to convert strings to enums

The __to_number helper function defined in base/str.hh is used by
unserializing code. Its purpose is to convert a string into an
integral/floating point number.  Since enums underlying type can only be
an integer type, it makes sense to extend the helper function for enums
as well. In this way it will be possible to unserialize Enums and
containers of Enums without the need of casting.

Change-Id: I74069cc4c04ec8b5eb80939acea7ab18fb366dd4
Signed-off-by: Giacomo Travaglini 
Reviewed-by: Ciro Santilli 
Reviewed-by: Andreas Sandberg 
Reviewed-by: Nikos Nikoleris 
---
M src/base/str.hh
1 file changed, 8 insertions(+), 3 deletions(-)



diff --git a/src/base/str.hh b/src/base/str.hh
index 52ab977..61022bd 100644
--- a/src/base/str.hh
+++ b/src/base/str.hh
@@ -1,4 +1,7 @@
 /*
+ * Copyright (c) 2018 ARM Limited
+ * All rights reserved
+ *
  * Copyright (c) 2001-2005 The Regents of The University of Michigan
  * All rights reserved.
  *
@@ -102,10 +105,11 @@
  * @{
  *
  * @name String to number helper functions for signed and unsigned
- *   integeral type, as well as floating-point types.
+ *   integeral type, as well as enums and floating-point types.
  */
 template 
-typename std::enable_if::value &&
+typename std::enable_if<(std::is_integral::value ||
+std::is_enum::value) &&
 std::is_signed::value, T>::type
 __to_number(const std::string )
 {
@@ -117,7 +121,8 @@
 }

 template 
-typename std::enable_if::value &&
+typename std::enable_if<(std::is_integral::value ||
+std::is_enum::value) &&
 !std::is_signed::value, T>::type
 __to_number(const std::string )
 {

--
To view, visit https://gem5-review.googlesource.com/c/public/gem5/+/15336
To unsubscribe, or for help writing mail filters, visit  
https://gem5-review.googlesource.com/settings


Gerrit-Project: public/gem5
Gerrit-Branch: master
Gerrit-Change-Id: I74069cc4c04ec8b5eb80939acea7ab18fb366dd4
Gerrit-Change-Number: 15336
Gerrit-PatchSet: 1
Gerrit-Owner: Giacomo Travaglini 
Gerrit-Reviewer: Andreas Sandberg 
Gerrit-Reviewer: Ciro Santilli 
Gerrit-Reviewer: Nikos Nikoleris 
Gerrit-MessageType: newchange
___
gem5-dev mailing list
gem5-dev@gem5.org
http://m5sim.org/mailman/listinfo/gem5-dev

[gem5-dev] Change in gem5/gem5[master]: cpu: ITTAGE indirect branch predictor model

2019-01-08 Thread Jairo Balart (Gerrit)
Jairo Balart has uploaded this change for review. (  
https://gem5-review.googlesource.com/c/public/gem5/+/15335



Change subject: cpu: ITTAGE indirect branch predictor model
..

cpu: ITTAGE indirect branch predictor model

Change-Id: Ie0ee7fe31ffe5177042ffddd61d2099bcf14b9cb
---
M src/cpu/pred/BranchPredictor.py
M src/cpu/pred/SConscript
M src/cpu/pred/bpred_unit.cc
M src/cpu/pred/indirect.cc
M src/cpu/pred/indirect.hh
M src/cpu/pred/indirect_base.hh
A src/cpu/pred/ittage.cc
A src/cpu/pred/ittage.hh
8 files changed, 846 insertions(+), 4 deletions(-)



diff --git a/src/cpu/pred/BranchPredictor.py  
b/src/cpu/pred/BranchPredictor.py

index 1e37ab3..040a908 100644
--- a/src/cpu/pred/BranchPredictor.py
+++ b/src/cpu/pred/BranchPredictor.py
@@ -423,3 +423,16 @@

 logInb = 7

+class ITTAGE(IndirectPredictorBase):
+type = 'ITTAGE'
+cxx_class = 'ITTAGE'
+cxx_header = "cpu/pred/ittage.hh"
+
+nHistoryTables = Param.Unsigned(15, "Number of history tables")
+minHist = Param.Unsigned(8, "Minimum history size")
+maxHist = Param.Unsigned(2000, "Maximum history size")
+histBufferSize = Param.Unsigned(4096,
+"A large number to track all branch histories")
+histLengths = VectorParam.Int(
+[0, 0, 10, 16, 27, 44, 60, 96, 109, 219, 449, 487, 714, 1313, 2146,
+ 3881], "History lengths")
diff --git a/src/cpu/pred/SConscript b/src/cpu/pred/SConscript
index a30ad02..07f82cc 100644
--- a/src/cpu/pred/SConscript
+++ b/src/cpu/pred/SConscript
@@ -41,6 +41,7 @@
 Source('btb.cc')
 Source('indirect.cc')
 Source('indirect_base.cc')
+Source('ittage.cc')
 Source('ras.cc')
 Source('tournament.cc')
 Source ('bi_mode.cc')
diff --git a/src/cpu/pred/bpred_unit.cc b/src/cpu/pred/bpred_unit.cc
index d8a268a..7edcfe7 100644
--- a/src/cpu/pred/bpred_unit.cc
+++ b/src/cpu/pred/bpred_unit.cc
@@ -277,7 +277,8 @@
 predict_record.wasIndirect = true;
 ++indirectLookups;
 //Consult indirect predictor on indirect control
-if (iPred->lookup(pc.instAddr(), target, tid)) {
+if (iPred->lookup(pc.instAddr(), target, tid,
+indirect_history)) {
 // Indirect predictor hit
 ++indirectHits;
 DPRINTF(Branch, "[tid:%i]: Instruction %s predicted "
@@ -297,6 +298,9 @@
 }
 iPred->recordIndirect(pc.instAddr(), target.instAddr(),  
seqNum,

 tid);
+iPred->historyUpdate(tid, pc.instAddr(), pred_taken,
+ indirect_history, inst,
+ target.instAddr());
 }
 }
 } else {
diff --git a/src/cpu/pred/indirect.cc b/src/cpu/pred/indirect.cc
index be9a59d..1fb2db1 100644
--- a/src/cpu/pred/indirect.cc
+++ b/src/cpu/pred/indirect.cc
@@ -77,7 +77,7 @@

 bool
 IndirectPredictor::lookup(Addr br_addr, TheISA::PCState& target,
-ThreadID tid)
+ThreadID tid, void *& bp_history)
 {
 Addr set_index = getSetIndex(br_addr, threadInfo[tid].ghr, tid);
 Addr tag = getTag(br_addr);
diff --git a/src/cpu/pred/indirect.hh b/src/cpu/pred/indirect.hh
index 37442e6..a9d98df 100644
--- a/src/cpu/pred/indirect.hh
+++ b/src/cpu/pred/indirect.hh
@@ -43,7 +43,8 @@
 {
   public:
 IndirectPredictor(const IndirectPredictorParams * params);
-bool lookup(Addr br_addr, TheISA::PCState& br_target, ThreadID tid);
+bool lookup(Addr br_addr, TheISA::PCState& br_target, ThreadID tid,
+void *& bp_history);
 void recordIndirect(Addr br_addr, Addr tgt_addr, InstSeqNum seq_num,
 ThreadID tid);
 void commit(InstSeqNum seq_num, ThreadID tid, void * indirect_history);
diff --git a/src/cpu/pred/indirect_base.hh b/src/cpu/pred/indirect_base.hh
index e8ffcf6..c4e9d01 100644
--- a/src/cpu/pred/indirect_base.hh
+++ b/src/cpu/pred/indirect_base.hh
@@ -49,7 +49,7 @@
 }

 virtual bool lookup(Addr br_addr, TheISA::PCState& br_target,
-ThreadID tid) = 0;
+ThreadID tid, void *& bp_history) = 0;
 virtual void recordIndirect(Addr br_addr, Addr tgt_addr,
 InstSeqNum seq_num, ThreadID tid) = 0;
 virtual void commit(InstSeqNum seq_num, ThreadID tid,
@@ -64,6 +64,12 @@
bool actually_taken) = 0;
 virtual void deleteDirectionInfo(ThreadID tid,
  void * indirect_history) = 0;
+
+virtual void historyUpdate(ThreadID tid, Addr branch_pc, bool taken,
+   void * bp_history, const StaticInstPtr  
,

+   Addr target)
+{
+}
 };

 #endif // __CPU_PRED_INDIRECT_BASE_HH__
diff --git a/src/cpu/pred/ittage.cc b/src/cpu/pred/ittage.cc
new file mode 100644
index 000..ecbdd4c
--- /dev/null

[gem5-dev] Cron /z/m5/regression/do-regression quick

2019-01-08 Thread Cron Daemon
* 
build/RISCV/tests/opt/quick/se/02.insttest/riscv/linux-rv64c/minor-timing: 
FAILED!
* build/RISCV/tests/opt/quick/se/02.insttest/riscv/linux-rv64c/o3-timing: 
FAILED!
* 
build/RISCV/tests/opt/quick/se/02.insttest/riscv/linux-rv64c/simple-timing: 
FAILED!
* 
build/RISCV/tests/opt/quick/se/02.insttest/riscv/linux-rv64c/simple-timing-ruby:
 FAILED!
* 
build/RISCV/tests/opt/quick/se/02.insttest/riscv/linux-rv64c/simple-atomic: 
FAILED!
* build/RISCV/tests/opt/quick/se/02.insttest/riscv/linux-rv64f/o3-timing: 
FAILED!
* build/ALPHA/tests/opt/quick/se/00.hello/alpha/linux/o3-timing: CHANGED!
* build/ALPHA/tests/opt/quick/se/00.hello/alpha/linux/simple-atomic: 
CHANGED!
* build/ALPHA/tests/opt/quick/se/00.hello/alpha/linux/simple-timing-ruby: 
CHANGED!
* build/ALPHA/tests/opt/quick/se/01.hello-2T-smt/alpha/linux/o3-timing-mt: 
CHANGED!
* build/ALPHA/tests/opt/quick/se/00.hello/alpha/linux/simple-timing: 
CHANGED!
* build/ALPHA/tests/opt/quick/se/00.hello/alpha/linux/minor-timing: CHANGED!
* 
build/ALPHA/tests/opt/quick/se/03.learning-gem5/alpha/linux/learning-gem5-p1-simple:
 CHANGED!
* 
build/ALPHA/tests/opt/quick/fs/10.linux-boot/alpha/linux/tsunami-simple-atomic: 
CHANGED!
* 
build/ALPHA/tests/opt/quick/fs/10.linux-boot/alpha/linux/tsunami-simple-atomic-dual:
 CHANGED!
* 
build/ALPHA/tests/opt/quick/se/03.learning-gem5/alpha/linux/learning-gem5-p1-two-level:
 CHANGED!
* 
build/ALPHA/tests/opt/quick/fs/10.linux-boot/alpha/linux/tsunami-simple-timing: 
CHANGED!
* 
build/ALPHA/tests/opt/quick/fs/80.netperf-stream/alpha/linux/twosys-tsunami-simple-atomic:
 CHANGED!
* 
build/ALPHA/tests/opt/quick/fs/10.linux-boot/alpha/linux/tsunami-simple-timing-dual:
 CHANGED!
* build/MIPS/tests/opt/quick/se/00.hello/mips/linux/o3-timing: CHANGED!
* 
build/MIPS/tests/opt/quick/se/03.learning-gem5/mips/linux/learning-gem5-p1-simple:
 CHANGED!
*** stat_diff: FAILURE: Statistics mismatch*** diff[config.ini]: SKIPPED* 
build/MIPS/tests/opt/quick/se/00.hello/mips/linux/simple-timing: CHANGED!
* build/MIPS/tests/opt/quick/se/00.hello/mips/linux/simple-timing-ruby: 
CHANGED!Statistics mismatch
* build/MIPS/tests/opt/quick/se/00.hello/mips/linux/simple-atomic: 
CHANGED!*** diff[simout]: SKIPPED
* 
build/MIPS/tests/opt/quick/se/03.learning-gem5/mips/linux/learning-gem5-p1-two-level:
 CHANGED!
* build/NULL/tests/opt/quick/se/60.rubytest/null/none/rubytest-ruby: 
CHANGED!
*** stat_diff: FAILURE: Statistics mismatch* 
build/NULL/tests/opt/quick/se/70.tgen/null/none/tgen-dram-ctrl: CHANGED!
* build/NULL/tests/opt/quick/se/80.dram-closepage/null/none/dram-lowp: 
CHANGED!
* build/NULL/tests/opt/quick/se/80.dram-openpage/null/none/dram-lowp: 
CHANGED!
* build/NULL/tests/opt/quick/se/70.tgen/null/none/tgen-simple-mem: CHANGED!
* 
build/NULL_MOESI_hammer/tests/opt/quick/se/60.rubytest/null/none/rubytest-ruby-MOESI_hammer:
 CHANGED!
* 
build/NULL_MESI_Two_Level/tests/opt/quick/se/60.rubytest/null/none/rubytest-ruby-MESI_Two_Level:
 CHANGED!
* 
build/NULL_MOESI_CMP_directory/tests/opt/quick/se/60.rubytest/null/none/rubytest-ruby-MOESI_CMP_directory:
 CHANGED!
* 
build/NULL_MOESI_CMP_token/tests/opt/quick/se/60.rubytest/null/none/rubytest-ruby-MOESI_CMP_token:
 CHANGED!
* build/POWER/tests/opt/quick/se/00.hello/power/linux/o3-timing: CHANGED!
* build/POWER/tests/opt/quick/se/00.hello/power/linux/simple-atomic: 
CHANGED!
* build/SPARC/tests/opt/quick/se/00.hello/sparc/linux/simple-atomic: 
CHANGED!
* build/SPARC/tests/opt/quick/se/02.insttest/sparc/linux/simple-atomic: 
CHANGED!
* build/SPARC/tests/opt/quick/se/00.hello/sparc/linux/simple-timing-ruby: 
CHANGED!
* build/SPARC/tests/opt/quick/se/02.insttest/sparc/linux/o3-timing: CHANGED!
* 
build/SPARC/tests/opt/quick/se/03.learning-gem5/sparc/linux/learning-gem5-p1-simple:
 CHANGED!
* 
build/SPARC/tests/opt/quick/se/03.learning-gem5/sparc/linux/learning-gem5-p1-two-level:
 CHANGED!
* build/SPARC/tests/opt/quick/se/00.hello/sparc/linux/simple-timing: 
CHANGED!
* build/SPARC/tests/opt/quick/se/02.insttest/sparc/linux/simple-timing: 
CHANGED!
* build/SPARC/tests/opt/quick/se/10.mcf/sparc/linux/simple-atomic: CHANGED!
* 
build/SPARC/tests/opt/quick/se/40.m5threads-test-atomic/sparc/linux/o3-timing-mp:
 CHANGED!
* 
build/SPARC/tests/opt/quick/se/40.m5threads-test-atomic/sparc/linux/simple-atomic-mp:
 CHANGED!
* 
build/SPARC/tests/opt/quick/se/40.m5threads-test-atomic/sparc/linux/simple-timing-mp:
 CHANGED!
* build/SPARC/tests/opt/quick/se/50.vortex/sparc/linux/simple-atomic: 
CHANGED!
* build/SPARC/tests/opt/quick/se/50.vortex/sparc/linux/simple-timing: 
CHANGED!
* build/SPARC/tests/opt/quick/se/70.twolf/sparc/linux/simple-atomic: 
CHANGED!
* build/SPARC/tests/opt/quick/se/70.twolf/sparc/linux/simple-timing: 
CHANGED!
* build/X86/tests/opt/quick/se/00.hello/x86/linux/simple-timing-ruby: