[gentoo-commits] repo/gentoo:master commit in: sci-electronics/ghdl/files/, sci-electronics/ghdl/

2024-01-29 Thread Maciej Barć
commit: b9ba1ccd08b3739cda17b3188194fc9f9b015ac2
Author: Maciej Barć  gentoo  org>
AuthorDate: Mon Jan 29 11:58:56 2024 +
Commit: Maciej Barć  gentoo  org>
CommitDate: Mon Jan 29 14:13:27 2024 +
URL:https://gitweb.gentoo.org/repo/gentoo.git/commit/?id=b9ba1ccd

sci-electronics/ghdl: drop old 3.0.0

Closes: https://bugs.gentoo.org/920538
Signed-off-by: Maciej Barć  gentoo.org>

 sci-electronics/ghdl/Manifest  |  1 -
 .../ghdl/files/ghdl-3.0.0-no-pyunit.patch  | 16 -
 sci-electronics/ghdl/ghdl-3.0.0.ebuild | 79 --
 3 files changed, 96 deletions(-)

diff --git a/sci-electronics/ghdl/Manifest b/sci-electronics/ghdl/Manifest
index c435f58f6dca..854f17174cb9 100644
--- a/sci-electronics/ghdl/Manifest
+++ b/sci-electronics/ghdl/Manifest
@@ -1,2 +1 @@
-DIST ghdl-3.0.0.tar.gz 7160245 BLAKE2B 
685d8976db48dd6d7074540ff24fae11e8d75f2b0e91de3095b356c9ed1e8dd762c486b7fb1df5a874a653181c6d4f4be4f8e3f5881d10db941c467a95590f45
 SHA512 
11b638d0ae757ce2e247ab438c15a8f5cd78c3a025ba6272aa05df548d2a7276c25dbbf83b846284ded8c9864eca3dcdb8342cb532faecd01e7cefaada524ab0
 DIST ghdl-4.0.0_pre20231218.tar.gz 7761842 BLAKE2B 
d5b3ae4c28b245881681695cee74eca1d69092d8089cb1ec042f42d26e62aa420ace8f04899023d98259d845ea89700d77c453b892c176d4d1b367ac7a8237a6
 SHA512 
6b9ac7221bee5b8ec2cc7971fbad5aff615839aa3c3170fa39079c68e65da8b4a8d60f5c53d9fa88146c9f865b0d8a059fd03358e20b660b6543cf1b0c0b0c84

diff --git a/sci-electronics/ghdl/files/ghdl-3.0.0-no-pyunit.patch 
b/sci-electronics/ghdl/files/ghdl-3.0.0-no-pyunit.patch
deleted file mode 100644
index 7730d380494d..
--- a/sci-electronics/ghdl/files/ghdl-3.0.0-no-pyunit.patch
+++ /dev/null
@@ -1,16 +0,0 @@
 a/testsuite/testsuite.sh
-+++ b/testsuite/testsuite.sh
-@@ -129,9 +129,10 @@ do_test() {
- 
- pyunit)
-   # The Python Unit testsuite: regression testsuite for Python bindings 
to libghdl
--  gstart "[GHDL - test] pyunit"
--  PYTHONPATH=$(pwd)/.. ${PYTHON:-python3} -m pytest -vsrA pyunit
--  gend
-+  # gstart "[GHDL - test] pyunit"
-+  # PYTHONPATH=$(pwd)/.. ${PYTHON:-python3} -m pytest -vsrA pyunit
-+  # gend
-+  echo "Python Unit testsuite is disabled!"
- ;;
- 
- vests)

diff --git a/sci-electronics/ghdl/ghdl-3.0.0.ebuild 
b/sci-electronics/ghdl/ghdl-3.0.0.ebuild
deleted file mode 100644
index 6f2fa17fb6c8..
--- a/sci-electronics/ghdl/ghdl-3.0.0.ebuild
+++ /dev/null
@@ -1,79 +0,0 @@
-# Copyright 1999-2023 Gentoo Authors
-# Distributed under the terms of the GNU General Public License v2
-
-EAPI=8
-
-ADA_COMPAT=( gnat_2021 )
-LLVM_MAX_SLOT=15# Check "configure" script for supported LLVM versions.
-
-inherit ada edo llvm toolchain-funcs
-
-DESCRIPTION="Open-source analyzer, compiler, and simulator for VHDL 2008/93/87"
-HOMEPAGE="https://ghdl.github.io/ghdl/
-   https://github.com/ghdl/ghdl/;
-
-if [[ ${PV} == ** ]] ; then
-   inherit git-r3
-   EGIT_REPO_URI="https://github.com/ghdl/${PN}.git;
-else
-   SRC_URI="https://github.com/ghdl/${PN}/archive/v${PV}.tar.gz
-   -> ${P}.tar.gz"
-   KEYWORDS="amd64 ~x86"
-fi
-
-LICENSE="GPL-2+"
-SLOT="0"
-IUSE="llvm"
-REQUIRED_USE="${ADA_REQUIRED_USE}"
-
-RDEPEND="
-   ${ADA_DEPS}
-   llvm? ( 

[gentoo-commits] repo/gentoo:master commit in: sci-electronics/ghdl/files/, sci-electronics/ghdl/

2023-12-22 Thread Maciej Barć
commit: 5757c00980a5347b123f6fc88bcc590a00fa4644
Author: Maciej Barć  gentoo  org>
AuthorDate: Fri Dec 22 22:32:33 2023 +
Commit: Maciej Barć  gentoo  org>
CommitDate: Sat Dec 23 01:20:19 2023 +
URL:https://gitweb.gentoo.org/repo/gentoo.git/commit/?id=5757c009

sci-electronics/ghdl: bump to 4.0.0_pre20231218

Bug: https://bugs.gentoo.org/920538
Signed-off-by: Maciej Barć  gentoo.org>

 sci-electronics/ghdl/Manifest  |  1 +
 .../files/ghdl-4.0.0_pre20231218-no-pyunit.patch   | 18 +
 sci-electronics/ghdl/ghdl-4.0.0_pre20231218.ebuild | 84 ++
 3 files changed, 103 insertions(+)

diff --git a/sci-electronics/ghdl/Manifest b/sci-electronics/ghdl/Manifest
index a6d889450929..c435f58f6dca 100644
--- a/sci-electronics/ghdl/Manifest
+++ b/sci-electronics/ghdl/Manifest
@@ -1 +1,2 @@
 DIST ghdl-3.0.0.tar.gz 7160245 BLAKE2B 
685d8976db48dd6d7074540ff24fae11e8d75f2b0e91de3095b356c9ed1e8dd762c486b7fb1df5a874a653181c6d4f4be4f8e3f5881d10db941c467a95590f45
 SHA512 
11b638d0ae757ce2e247ab438c15a8f5cd78c3a025ba6272aa05df548d2a7276c25dbbf83b846284ded8c9864eca3dcdb8342cb532faecd01e7cefaada524ab0
+DIST ghdl-4.0.0_pre20231218.tar.gz 7761842 BLAKE2B 
d5b3ae4c28b245881681695cee74eca1d69092d8089cb1ec042f42d26e62aa420ace8f04899023d98259d845ea89700d77c453b892c176d4d1b367ac7a8237a6
 SHA512 
6b9ac7221bee5b8ec2cc7971fbad5aff615839aa3c3170fa39079c68e65da8b4a8d60f5c53d9fa88146c9f865b0d8a059fd03358e20b660b6543cf1b0c0b0c84

diff --git a/sci-electronics/ghdl/files/ghdl-4.0.0_pre20231218-no-pyunit.patch 
b/sci-electronics/ghdl/files/ghdl-4.0.0_pre20231218-no-pyunit.patch
new file mode 100644
index ..91cb22d6035a
--- /dev/null
+++ b/sci-electronics/ghdl/files/ghdl-4.0.0_pre20231218-no-pyunit.patch
@@ -0,0 +1,18 @@
+diff --git a/testsuite/testsuite.sh.orig b/testsuite/testsuite.sh
+index 0c1049f..52da6db 100755
+--- a/testsuite/testsuite.sh.orig
 b/testsuite/testsuite.sh
+@@ -130,9 +130,10 @@ do_test() {
+ pyunit)
+   # The Python Unit testsuite: regression testsuite for Python bindings 
to libghdl
+   # pyunit/dom fails with python 3.12
+-  gstart "[GHDL - test] pyunit"
+-  PYTHONPATH=$(pwd)/.. ${PYTHON:-python3} -m pytest -vsrA pyunit/lsp 
pyunit/libghdl
+-  gend
++  # gstart "[GHDL - test] pyunit"
++  # PYTHONPATH=$(pwd)/.. ${PYTHON:-python3} -m pytest -vsrA pyunit/lsp 
pyunit/libghdl
++  # gend
++  echo "Python Unit testsuite is disabled!"
+ ;;
+ 
+ vests)

diff --git a/sci-electronics/ghdl/ghdl-4.0.0_pre20231218.ebuild 
b/sci-electronics/ghdl/ghdl-4.0.0_pre20231218.ebuild
new file mode 100644
index ..84be680e666c
--- /dev/null
+++ b/sci-electronics/ghdl/ghdl-4.0.0_pre20231218.ebuild
@@ -0,0 +1,84 @@
+# Copyright 1999-2023 Gentoo Authors
+# Distributed under the terms of the GNU General Public License v2
+
+EAPI=8
+
+[[ "${PV}" = *_pre20231218 ]] && 
COMMIT=2135cbf1458bd1b8b8f42bda81222ab57bd66de6
+
+ADA_COMPAT=( gnat_2021 )
+LLVM_MAX_SLOT=17# Check "configure" script for supported LLVM versions.
+
+inherit ada edo llvm toolchain-funcs
+
+DESCRIPTION="Open-source analyzer, compiler, and simulator for VHDL 2008/93/87"
+HOMEPAGE="https://ghdl.github.io/ghdl/
+   https://github.com/ghdl/ghdl/;
+
+if [[ "${PV}" == ** ]] ; then
+   inherit git-r3
+
+   EGIT_REPO_URI="https://github.com/ghdl/${PN}.git;
+else
+   SRC_URI="https://github.com/ghdl/${PN}/archive/${COMMIT}.tar.gz
+   -> ${P}.tar.gz"
+   S="${WORKDIR}/${PN}-${COMMIT}"
+
+   KEYWORDS="~amd64 ~x86"
+fi
+
+LICENSE="GPL-2+"
+SLOT="0"
+IUSE="llvm"
+REQUIRED_USE="${ADA_REQUIRED_USE}"
+
+RDEPEND="
+   ${ADA_DEPS}
+   llvm? ( 

[gentoo-commits] repo/gentoo:master commit in: sci-electronics/ghdl/files/, sci-electronics/ghdl/

2023-07-15 Thread Maciej Barć
commit: 3a84ab0b91ec341b6e686aa0dd67e3f0f64137bb
Author: Maciej Barć  gentoo  org>
AuthorDate: Sat Jul 15 14:30:51 2023 +
Commit: Maciej Barć  gentoo  org>
CommitDate: Sat Jul 15 14:39:31 2023 +
URL:https://gitweb.gentoo.org/repo/gentoo.git/commit/?id=3a84ab0b

sci-electronics/ghdl: new package; add 3.0.0

Signed-off-by: Maciej Barć  gentoo.org>

 sci-electronics/ghdl/Manifest  |  1 +
 .../ghdl/files/ghdl-3.0.0-no-pyunit.patch  | 16 +
 sci-electronics/ghdl/ghdl-3.0.0.ebuild | 79 ++
 sci-electronics/ghdl/metadata.xml  | 31 +
 4 files changed, 127 insertions(+)

diff --git a/sci-electronics/ghdl/Manifest b/sci-electronics/ghdl/Manifest
new file mode 100644
index ..a6d889450929
--- /dev/null
+++ b/sci-electronics/ghdl/Manifest
@@ -0,0 +1 @@
+DIST ghdl-3.0.0.tar.gz 7160245 BLAKE2B 
685d8976db48dd6d7074540ff24fae11e8d75f2b0e91de3095b356c9ed1e8dd762c486b7fb1df5a874a653181c6d4f4be4f8e3f5881d10db941c467a95590f45
 SHA512 
11b638d0ae757ce2e247ab438c15a8f5cd78c3a025ba6272aa05df548d2a7276c25dbbf83b846284ded8c9864eca3dcdb8342cb532faecd01e7cefaada524ab0

diff --git a/sci-electronics/ghdl/files/ghdl-3.0.0-no-pyunit.patch 
b/sci-electronics/ghdl/files/ghdl-3.0.0-no-pyunit.patch
new file mode 100644
index ..7730d380494d
--- /dev/null
+++ b/sci-electronics/ghdl/files/ghdl-3.0.0-no-pyunit.patch
@@ -0,0 +1,16 @@
+--- a/testsuite/testsuite.sh
 b/testsuite/testsuite.sh
+@@ -129,9 +129,10 @@ do_test() {
+ 
+ pyunit)
+   # The Python Unit testsuite: regression testsuite for Python bindings 
to libghdl
+-  gstart "[GHDL - test] pyunit"
+-  PYTHONPATH=$(pwd)/.. ${PYTHON:-python3} -m pytest -vsrA pyunit
+-  gend
++  # gstart "[GHDL - test] pyunit"
++  # PYTHONPATH=$(pwd)/.. ${PYTHON:-python3} -m pytest -vsrA pyunit
++  # gend
++  echo "Python Unit testsuite is disabled!"
+ ;;
+ 
+ vests)

diff --git a/sci-electronics/ghdl/ghdl-3.0.0.ebuild 
b/sci-electronics/ghdl/ghdl-3.0.0.ebuild
new file mode 100644
index ..43091157283f
--- /dev/null
+++ b/sci-electronics/ghdl/ghdl-3.0.0.ebuild
@@ -0,0 +1,79 @@
+# Copyright 1999-2023 Gentoo Authors
+# Distributed under the terms of the GNU General Public License v2
+
+EAPI=8
+
+ADA_COMPAT=( gnat_2021 )
+LLVM_MAX_SLOT=15# Check "configure" script for supported LLVM versions.
+
+inherit ada edo llvm toolchain-funcs
+
+DESCRIPTION="Open-source analyzer, compiler, and simulator for VHDL 2008/93/87"
+HOMEPAGE="https://ghdl.github.io/ghdl/
+   https://github.com/ghdl/ghdl/;
+
+if [[ ${PV} == ** ]] ; then
+   inherit git-r3
+   EGIT_REPO_URI="https://github.com/ghdl/${PN}.git;
+else
+   SRC_URI="https://github.com/ghdl/${PN}/archive/v${PV}.tar.gz
+   -> ${P}.tar.gz"
+   KEYWORDS="~amd64 ~x86"
+fi
+
+LICENSE="GPL-2+"
+SLOT="0"
+IUSE="llvm"
+REQUIRED_USE="${ADA_REQUIRED_USE}"
+
+RDEPEND="
+   ${ADA_DEPS}
+   llvm? ( 
+https://www.gentoo.org/dtd/metadata.dtd;>
+
+
+  
+x...@gentoo.org
+Maciej Barć
+  
+  
+GHDL is a shorthand for G Hardware Design Language (currently, G has no
+meaning). It is a VHDL analyzer, compiler, simulator and (experimental)
+synthesizer that can process (nearly) any VHDL design. Unlike some other
+simulators, GHDL is a compiler: it directly translates a VHDL file to
+machine code, without using an intermediary language such as C or C++.
+Therefore, the compiled code should be faster and the analysis time should
+be shorter than with a compiler using an intermediary language. GHDL can
+use multiple back-ends, i.e. code generators, (GCC, LLVM or x86/i386 only,
+a built-in one named mcode) and runs on GNU/Linux, Windows and macOS; on
+x86, x86_64, armv6/armv7/aarch32/aarch64, ppc64, etc. GHDL aims at
+implementing VHDL as defined by IEEE 1076. It supports the 1987, 1993 and
+2002 revisions and, partially, 2008 and 2019. Property Specification
+Language (PSL) is also partially supported.
+  
+  
+Build LLVM code generator
+  
+  
+https://github.com/ghdl/ghdl/issues/
+ghdl/ghdl
+  
+