[Ghdl-discuss] Building GHDL on top of a newer GCC

2012-01-07 Thread Wesley J. Landaker
Hey Tristan other GHDL folks, Has anyone made any progress getting GHDL ported up to a build on and/or with a newer GCC? I'm working on updating the GHDL Debian package. Currently it is built on gcc-core-4.3.4 as a base, with gnat-4.4 as the compiler. This no longer builds in the current

Re: [Ghdl-discuss] Using ghdl together with the Xilinx ISE tool chain -- sdf parser and usage of rtriple

2012-01-07 Thread David Koontz
On Jan 8, 2012, at 6:49 AM, Walter F.J. Mueller wrote: From all that I conclude that the sdf parser in ghdl seems to have some problems with the proper treatment of RNUMBER and rtriple and seems to require rtriple's even though the SDF spec clearly does not require that. I haven't generated

Re: [Ghdl-discuss] Using ghdl together with the Xilinx ISE tool chain

2012-01-07 Thread David Koontz
On Jan 6, 2012, at 6:48 PM, David Koontz wrote: While technically challenging, a rather dry subject. Getting to the actual error: On Jan 6, 2012, at 6:48 PM, David Koontz wrote: Second, test_timesim.vhd:133:3:warning: component instance clk_bufgp_bufg is not bound Is going to

Re: [Ghdl-discuss] Building GHDL on top of a newer GCC

2012-01-07 Thread David Koontz
On Jan 8, 2012, at 7:03 AM, Wesley J. Landaker wrote: Hey Tristan other GHDL folks, Has anyone made any progress getting GHDL ported up to a build on and/or with a newer GCC? I'm working on updating the GHDL Debian package. Currently it is built on gcc-core-4.3.4 as a base, with

Re: [Ghdl-discuss] Using ghdl together with the Xilinx ISE tool chain

2012-01-07 Thread David Koontz
On Jan 6, 2012, at 6:48 PM, David Koontz wrote: While technically challenging, a rather dry subject. Getting to the actual error: On Jan 6, 2012, at 6:48 PM, David Koontz wrote: Second, test_timesim.vhd:133:3:warning: component instance clk_bufgp_bufg is not bound Is going to