Re: [Ghdl-discuss] ABI progress was GHDL function that returns a GHDL string to a C function

2012-01-12 Thread Lionel Sainte Cluque
Hi all I'm an hardware designer, not a software developer I own my own company now, so my boss let me code during work time... I used to dream about ngspice - ghdl mixed mode simulator I use both ngspice and ghdl for my work I used to be involved in tclspice project, so I know a little bit about

[Ghdl-discuss] teaching with GHDL

2012-01-12 Thread Dr. Douglas Lyon
Hi All, Teaching with GHDL is an excellent way to get through the syntax. We have been working on methods for doing the equivalent of writeln so that we can print both on the console and our DE2 Altera LCD displays, with the addition of modules in Quartus. The goal is to be able to prototype

Re: [Ghdl-discuss] teaching with GHDL

2012-01-12 Thread David Koontz
On Jan 13, 2012, at 1:03 AM, Dr. Douglas Lyon wrote: The compiler should probably be ported to something like Java, then VHDL could be a write once, run anywhere type language, and that would be a hoot. How about porting it to hardware? Should be small enough to run on a smallish FPGA, but

[Ghdl-discuss] GHDL Mailing list

2012-01-12 Thread Ben Gasch
Bonjour, Pourriez vous me retirer de la mailing list. Je ne souhaite plus recevoir les discussions. Merci d'avance. Benoit Gasch ___ Ghdl-discuss mailing list Ghdl-discuss@gna.org

Re: [Ghdl-discuss] GHDL Mailing list

2012-01-12 Thread David Koontz
On Jan 13, 2012, at 2:05 AM, Ben Gasch wrote: Bonjour, Pourriez vous me retirer de la mailing list. Je ne souhaite plus recevoir les discussions. Merci d'avance. Benoit Gasch ___ Ghdl-discuss mailing list Ghdl-discuss@gna.org

Re: [Ghdl-discuss] Building GHDL on top of a newer GCC - OS X

2012-01-12 Thread Dave Webb
Hi all, On Thu, Jan 12, 2012 at 2:01 PM, David Koontz diogra...@gmail.com wrote: You're github ghdl looks like it may not be up to date: ../../untar/gcc-4.6.0/gcc/vhdl/ortho-lang.c:766: parse error: GTY must be specified before identifier I already did some patching for these syntax changes

Re: [Ghdl-discuss] Building GHDL on top of a newer GCC - OS X

2012-01-12 Thread David Koontz
On Jan 13, 2012, at 2:46 AM, Dave Webb wrote: Hi all, On Thu, Jan 12, 2012 at 2:01 PM, David Koontz diogra...@gmail.com wrote: You're github ghdl looks like it may not be up to date: ../../untar/gcc-4.6.0/gcc/vhdl/ortho-lang.c:766: parse error: GTY must be specified before identifier I