[Ghdl-discuss] Error while handling floating point literals in physical literals

2015-06-08 Thread Lehmann, Patrick
Hello, I got an error message that says handling of floating point literals in physical literals is not supported. I can change my code, because it’s just a ‘100.0 MHz’ constant. Is this a features that will be implemented in the future? If I remember correctly, VHDL allows floating point

Re: [Ghdl-discuss] Error while handling floating point literals in physical literals

2015-06-08 Thread Tristan Gingold
On 08/06/15 21:55, Lehmann, Patrick wrote: Hello, I got an error message that says handling of floating point literals in physical literals is not supported. I can change my code, because it’s just a ‘100.0 MHz’ constant. Is this a features that will be implemented in the future? If I

Re: [Ghdl-discuss] Error while handling floating point literals in physical literals

2015-06-08 Thread David Koontz
On 9/06/2015, at 10:33 am, Lehmann, Patrick patrick.lehm...@tu-dresden.de wrote: Here are further tests: ghdl 0.31 on windows: C:\Tools\GHDL\0.31\bin\ghdl.exe: poc-obj93.cf: bad library format ghdl 0.32 on windows: C:\Tools\GHDL\0.32\bin\ghdl.exe: poc-obj93.cf: bad library format

Re: [Ghdl-discuss] Error while handling floating point literals in physical literals

2015-06-08 Thread Lehmann, Patrick
Here are further tests: ghdl 0.31 on windows: C:\Tools\GHDL\0.31\bin\ghdl.exe: poc-obj93.cf: bad library format ghdl 0.32 on windows: C:\Tools\GHDL\0.32\bin\ghdl.exe: poc-obj93.cf: bad library format ghdl 0.33dev (hg commit 821) on windows: build_constant: cannot handle

Re: [Ghdl-discuss] Error while handling floating point literals in physical literals

2015-06-08 Thread Lehmann, Patrick
Hello Tristan, I updated ghdl to hg from commit 801 to 821 and recompiled ghdl for Windows (mcode). The error is the same: build_constant: cannot handle IIR_KIND_PHYSICAL_FP_LITERAL (D:\git\SATAController\lib\PoC\tb\misc\misc_Noise_tb.vhdl:17:115) Is it a windows-only/mcode problem? Regards

Re: [Ghdl-discuss] Error while handling floating point literals in physical literals

2015-06-08 Thread Lehmann, Patrick
Hello again, ghdl handles the decimal delimiter sign correctly. It's not locale dependent. A faulty line: constant CLOCK_FREQ: FREQ := 100,0 MHz; gives: D:\git\SATAController\lib\PoC\tb\misc\misc_Noise_tb.vhdl:17:118: ';' is expected instead of ',' The error

Re: [Ghdl-discuss] Error while handling floating point literals in physical literals

2015-06-08 Thread David Koontz
On 9/06/2015, at 8:15 am, Tristan Gingold tging...@free.fr wrote: On 08/06/15 21:55, Lehmann, Patrick wrote: Hello, I got an error message that says handling of floating point literals in physical literals is not supported. I can change my code, because it’s just a ‘100.0 MHz’ constant.

Re: [Ghdl-discuss] Error while handling floating point literals in physical literals

2015-06-08 Thread Tristan Gingold
On 08/06/15 23:19, Lehmann, Patrick wrote: Hello Tristan, I updated ghdl to hg from commit 801 to 821 and recompiled ghdl for Windows (mcode). The error is the same: build_constant: cannot handle IIR_KIND_PHYSICAL_FP_LITERAL (D:\git\SATAController\lib\PoC\tb\misc\misc_Noise_tb.vhdl:17:115)

Re: [Ghdl-discuss] Error while handling floating point literals in physical literals

2015-06-08 Thread Tristan Gingold
On 09/06/15 02:43, Lehmann, Patrick wrote: Hello again, ghdl is compile without -g or -ggdb. There is also an addition strip run to remove symbols. I deactivated executable striping so GDB could find any symbols. Here is the output from start until breakpoint:

Re: [Ghdl-discuss] Error while handling floating point literals in physical literals

2015-06-08 Thread David Koontz
On 9/06/2015, at 11:10 am, Lehmann, Patrick patrick.lehm...@tu-dresden.de wrote: so ignore my tests on ghdl 0.31 and 0.32rc1 on windows. I cannot test it without many changes to my environment ... ghdl uses the analyzed libs from 0.33dev :( You can test it without your library: package

Re: [Ghdl-discuss] Error while handling floating point literals in physical literals

2015-06-08 Thread Lehmann, Patrick
Now it's getting strange ... I copied the complete code into one file and called 'ghdl.exe -a test.vhdl' on it. No message at all. I also checked if every ghdl.exe reports it's version number corresponding to it's installation directory. So what's the difference besides analyzing other packages

Re: [Ghdl-discuss] Error while handling floating point literals in physical literals

2015-06-08 Thread Lehmann, Patrick
Oh sorry, so ignore my tests on ghdl 0.31 and 0.32rc1 on windows. I cannot test it without many changes to my environment ... ghdl uses the analyzed libs from 0.33dev :( But there was also a Linux test in my last mail showing that it is still present in 0.33dev. Can I provide any further