> On 09 Aug 2016, at 1:00 pm, Thomas Dejanovic <thomas.dejano...@gmail.com> 
> wrote:

> I have a simulation sending packets through a system.  It works very
> well until we start to use some Xilinx primitives. If I break the
> system down and leave out the module that has Xilinx primitives, the
> simulation runs at least an order of magnitude faster and elapsed time
> increases linearly with increasing simulation time. Once we
> instantiate code that contains Xilinx primitives, doubling the
> simulation time (i.e. doubling the number of packets sent) increases
> the simulation time by about a factor of 6.
> 
> i.e. - sending 128 packets takes about 2 minutes of sim time. 265 =>
> 12 minutes, 512 packets => ~72 minutes and 1024 packets takes longer
> than I was willing to wait (jobs were killed after running for more
> than 350 minutes).
> 
> So my question is, has anyone else observed this behavior?


An apparent logarithmic increase in simulation time seems related to memory 
leaks. 

There was an issue reported by Adrien Prost-Boucle around 9 March this year. 

https://mail.gna.org/public/ghdl-discuss/2016-03/index.html


That was 'cured' by Tristan's commits c14f262, f4a612 and f1ddf16 around the 
15th of March (SourceTree reports times on this side of the international 
dateline).

https://mail.gna.org/public/ghdl-discuss/2016-03/msg00014.html

> this issue is now fixed by my latest patches.
> There was a threshold effect that prevented memory reuse and therefore
> slowed down simulation.


So the interesting questions become what revision (and potentially build 
date/commit) of ghdl, what platform and what Xilinx primitives/macros (and from 
what tool and version/release) are used when encountering the problem.

Follow that by whether or not you can monitor memory utilization of your 
running ghdl simulation to determine whether or not there is a leak (should you 
be using a later built ghdl than those commits).

Tristan has some Linux releases, llvm and mcode of several dates after the 
patches.

https://github.com/tgingold/ghdl/releases










_______________________________________________
Ghdl-discuss mailing list
Ghdl-discuss@gna.org
https://mail.gna.org/listinfo/ghdl-discuss

Reply via email to