Re: [Ghdl-discuss] entity instantiation

2017-05-13 Thread Tristan Gingold
On 13/05/17 15:45, Paebbels wrote: @David, @Tristan Shouldn't there be an error that "adder_0" is using a direct entity instantiation and thus a binding in the architecture can't be applied? That's what I get: analyze adder_tb2.vhdl adder_tb2.vhdl:12:8:error: specification does not apply to

Re: [Ghdl-discuss] entity instantiation

2017-05-13 Thread Paebbels
@David, @Tristan Shouldn't there be an error that "adder_0" is using a direct entity instantiation and thus a binding in the architecture can't be applied? Kind regards Patrick 2017-05-13 15:37 GMT+02:00 Тихомиров Валентин : > My commands are fine. David already

Re: [Ghdl-discuss] entity instantiation

2017-05-13 Thread Тихомиров Валентин
My commands are fine. David already figured everything right. The configuration for removed adder component instantiation was the culprit.13.05.2017, 16:28, "Paebbels" :Hello,you provided 2 GHDL commands:ghdl -a adder.vhdlghdl -e adder_tbbut the example in the GHDL

Re: [Ghdl-discuss] entity instantiation

2017-05-13 Thread Paebbels
Hello, you provided 2 GHDL commands: ghdl -a adder.vhdl ghdl -e adder_tb but the example in the GHDL documentation lists 4 commands: ghdl -a adder.vhdl ghdl -a adder_tb.vhdl ghdl -e adder_tb ghdl -r adder_tb At first, it analyzes both source files; at second it elaborates the top-level

Re: [Ghdl-discuss] entity instantiation

2017-05-13 Thread Тихомиров Валентин
> ports> provide a Minimal, Complete, and Verifiable example instead of snippets then complain when you can't get a quality answer without sufficient information The full code is the same. I took it from your "getting started" part of User Guide,

Re: [Ghdl-discuss] entity instantiation

2017-05-13 Thread David Koontz
> On May 13, 2017, at 11:48 PM, Тихомиров Валентин wrote: > > The command is > > ghdl -a adder.vhdl && ghdl --elab-run adder_tb > > and it analyzes the correct file which I prove by injecting a terrible > syntactic error and it fails to parse. In addition to a selected

Re: [Ghdl-discuss] entity instantiation

2017-05-13 Thread Тихомиров Валентин
The command is ghdl -a adder.vhdl && ghdl --elab-run adder_tb and it analyzes the correct file which I prove by injecting a terrible syntactic error and it fails to parse.13.05.2017, 14:38, "Paebbels" :Hello,have you analyzed adder before that component?Please provide the

Re: [Ghdl-discuss] entity instantiation

2017-05-13 Thread Paebbels
Hello, have you analyzed adder before that component? Please provide the executed GHDL commands. Am 13.05.2017 1:34 nachm. schrieb "Тихомиров Валентин" : >adder_0: entity work.adder port map (i0 => i0, i1 => i1, ci => ci, s => s, co

[Ghdl-discuss] entity instantiation

2017-05-13 Thread Тихомиров Валентин
>        adder_0: entity work.adder port map (i0 => i0, i1 => i1, ci => ci,                                 s => s, co => co); > adder is not directly visible. Thanks but "work" does not improve anything. I am still getting the same "no declaration for adder"

[Ghdl-discuss] entity instantiation

2017-05-13 Thread Тихомиров Валентин
GHDL gives an example of adder instantiation, using components         adder_0: adder port map (i0 => i0, i1 => i1, ci => ci,                                 s => s, co => co); which works. I tried to get rid of the component, making direct instantiation of the entity         adder_0: entity adder