Re: [Ghdl-discuss] Next release 0.33

2015-10-02 Thread Adrien Prost-Boucle
On Fri, 2015-10-02 at 07:45 +0200, Tristan Gingold wrote: > On 01/10/15 23:20, Adrien Prost-Boucle wrote: > > Hi, > > > > > > GHDL currently crashes on testsuite/vests/vhdl > > > > -93/ashenden/compliant/ch_14_fg_14_08.vhd. > > > > This is apparently a known issue because that testcase is > > > >

Re: [Ghdl-discuss] Next release 0.33

2015-10-02 Thread Pascal Giard
On Fri, Oct 2, 2015 at 2:25 AM, Adrien Prost-Boucle wrote: > On Fri, 2015-10-02 at 07:45 +0200, Tristan Gingold wrote: >> On 01/10/15 23:20, Adrien Prost-Boucle wrote: >> > Hi, >> > >> > > > GHDL currently crashes on testsuite/vests/vhdl >> > > >

Re: [Ghdl-discuss] Next release 0.33

2015-10-01 Thread Joris van Rantwijk
On 2015-10-01, Tristan Gingold wrote: > On 30/09/15 23:48, Joris van Rantwijk wrote: > > On casual inspection of trans-chap2.adb, Translate_Subprogram_Body, it > > appears that the variable Is_Ortho_Func may be used uninitialized. > > Ah, thanks. Can you try the following patch. > Which

Re: [Ghdl-discuss] Next release 0.33

2015-10-01 Thread Tristan Gingold
On 30/09/15 23:48, Joris van Rantwijk wrote: On 2015-09-30, Tristan Gingold wrote: On 30/09/15 13:04, Joris van Rantwijk wrote: When building the LLVM backend on i386, I get compiler errors. Should be fixed now. Sorry, I didn't try this configuration after the changes in config/ Yes,

Re: [Ghdl-discuss] Next release 0.33

2015-10-01 Thread Adrien Prost-Boucle
Hi, > > GHDL currently crashes on > > testsuite/vests/vhdl-93/ashenden/compliant/ch_14_fg_14_08.vhd. > > This is apparently a known issue because that testcase is commented out in > > the testsuite. I noticed by coincidence when I ran an older version of the > > testsuite. > > Yes, ghdl

Re: [Ghdl-discuss] Next release 0.33

2015-10-01 Thread Pascal Giard
Le 2015-10-01 à 17:20, Adrien Prost-Boucle a écrit : > I frequently use direct recursive instantiation and it works like charm (gcc > version of GHDL). > It also synthesizes very well, at least with Xilinx suites. > In fact I would have much trouble without

Re: [Ghdl-discuss] Next release 0.33

2015-10-01 Thread Tristan Gingold
On 02/10/15 07:54, Svenn Are Bjerkem wrote: On 2 October 2015 at 07:45, Tristan Gingold > wrote: Ie: An entity is instantiated within one of its architecture. Just curious, how to exit such a recursion? if-generate does not have an else-clause,

Re: [Ghdl-discuss] Next release 0.33

2015-10-01 Thread Tristan Gingold
On 01/10/15 23:20, Adrien Prost-Boucle wrote: Hi, GHDL currently crashes on testsuite/vests/vhdl-93/ashenden/compliant/ch_14_fg_14_08.vhd. This is apparently a known issue because that testcase is commented out in the testsuite. I noticed by coincidence when I ran an older version of the

Re: [Ghdl-discuss] Next release 0.33

2015-10-01 Thread Svenn Are Bjerkem
On 2 October 2015 at 07:45, Tristan Gingold wrote: > Ie: An entity is instantiated within one of its architecture. Just curious, how to exit such a recursion? if-generate does not have an else-clause, IIRC. -- Svenn ___

Re: [Ghdl-discuss] Next release 0.33

2015-09-30 Thread Tristan Gingold
On 30/09/15 13:04, Joris van Rantwijk wrote: On 2015-09-29, Tristan Gingold wrote: I'd like to build the release this week. Can you confirm that your favorite testbench/testsuite works with the tip ? When building the LLVM backend on i386, I get compiler errors. src/grt/config/jumps.c: In

Re: [Ghdl-discuss] Next release 0.33

2015-09-30 Thread Tristan Gingold
On 30/09/15 16:54, David Koontz wrote: Is this one of those ‘Doctor it hurts when I do that’ moments? originally defined in grt_sigsegv_handler() found in linux.c: ucontext_t *uctxt = (ucontext_t *)ptr; Note the comment on line 88 of jumps.c saying grt_sigsegv_hander() is only used by

Re: [Ghdl-discuss] Next release 0.33

2015-09-30 Thread Tristan Gingold
On 30/09/15 07:02, Olof Kraigher wrote: Yes everything works with tip. Great. Thanks for the reply. ___ Ghdl-discuss mailing list Ghdl-discuss@gna.org https://mail.gna.org/listinfo/ghdl-discuss

Re: [Ghdl-discuss] Next release 0.33

2015-09-30 Thread Tristan Gingold
On 29/09/15 22:56, Joris van Rantwijk wrote: On 2015-09-29, Tristan Gingold wrote: I'd like to build the release this week. Can you confirm that your favorite testbench/testsuite works with the tip ? Yes, my stuff works fine now. Go right ahead. Nice. Thanks for the reply.

Re: [Ghdl-discuss] Next release 0.33

2015-09-30 Thread Joris van Rantwijk
On 2015-09-29, Tristan Gingold wrote: I'd like to build the release this week. Can you confirm that your favorite testbench/testsuite works with the tip ? When building the LLVM backend on i386, I get compiler errors. src/grt/config/jumps.c: In function ‘grt_sigsegv_handler’:

Re: [Ghdl-discuss] Next release 0.33

2015-09-30 Thread Joris van Rantwijk
On 2015-09-30, Tristan Gingold wrote: > On 30/09/15 13:04, Joris van Rantwijk wrote: > > When building the LLVM backend on i386, I get compiler errors. > Should be fixed now. Sorry, I didn't try this configuration after > the changes in config/ Yes, fixed. Thanks. Next problem. So far I see

Re: [Ghdl-discuss] Next release 0.33

2015-09-30 Thread David Koontz
Is this one of those ‘Doctor it hurts when I do that’ moments? originally defined in grt_sigsegv_handler() found in linux.c: ucontext_t *uctxt = (ucontext_t *)ptr; Note the comment on line 88 of jumps.c saying grt_sigsegv_hander() is only used by mcode. Without more research I couldn’t tell

Re: [Ghdl-discuss] Next release 0.33

2015-09-29 Thread Joris van Rantwijk
On 2015-09-29, Tristan Gingold wrote: > I'd like to build the release this week. Can you confirm that > your favorite testbench/testsuite works with the tip ? Yes, my stuff works fine now. Go right ahead. Joris. ___ Ghdl-discuss mailing list

Re: [Ghdl-discuss] Next release 0.33

2015-09-29 Thread Olof Kraigher
Yes everything works with tip. Den 2015-09-29 kl. 20:49, skrev Tristan Gingold: Olof, Joris, I'd like to build the release this week. Can you confirm that your favorite testbench/testsuite works with the tip ? Thanks, Tristan. ___ Ghdl-discuss

Re: [Ghdl-discuss] Next release 0.33

2015-09-29 Thread Tristan Gingold
Olof, Joris, I'd like to build the release this week. Can you confirm that your favorite testbench/testsuite works with the tip ? Thanks, Tristan. ___ Ghdl-discuss mailing list Ghdl-discuss@gna.org https://mail.gna.org/listinfo/ghdl-discuss

Re: [Ghdl-discuss] Next release 0.33

2015-09-22 Thread Tristan Gingold
On 21/09/15 22:29, Joris van Rantwijk wrote: On 2015-09-21, Lehmann, Patrick wrote: Who will create a *.deb package? I plan to create an unofficial Debian package. Right now I'm still hunting a nasty simulation regression which I can so far only reproduce with a huge VHDL model of a

Re: [Ghdl-discuss] Next release 0.33

2015-09-21 Thread Joris van Rantwijk
On 2015-09-21, Lehmann, Patrick wrote: > Who will create a *.deb package? I plan to create an unofficial Debian package. Right now I'm still hunting a nasty simulation regression which I can so far only reproduce with a huge VHDL model of a microprocessor. Joris.

[Ghdl-discuss] Next release 0.33

2015-09-21 Thread Tristan Gingold
Hello, I have just created the branch 0.33. I plan to create a tarball for linux soon. Tristan. ___ Ghdl-discuss mailing list Ghdl-discuss@gna.org https://mail.gna.org/listinfo/ghdl-discuss

Re: [Ghdl-discuss] Next release 0.33

2015-09-21 Thread Adrien Prost-Boucle
That's hectic news ! :-] On Mon, 2015-09-21 at 20:32 +0200, Tristan Gingold wrote: > Hello, > > I have just created the branch 0.33. > I plan to create a tarball for linux soon. > > Tristan. ___ Ghdl-discuss mailing list Ghdl-discuss@gna.org

Re: [Ghdl-discuss] Next release 0.33

2015-09-21 Thread Lehmann, Patrick
-dresden.de Ursprüngliche Nachricht Von: Tristan Gingold <tging...@free.fr> Datum:21.09.2015 20:34 (GMT+01:00) An: ghdl-discuss@gna.org Betreff: [Ghdl-discuss] Next release 0.33 Hello, I have just created the branch 0.33. I plan to create a tarball for linux soon. T