Re: [Ghdl-discuss] SYSTEM.ASSERTIONS.ASSERT_FAILURE in trans.adb:393 (Set_Scope_Via_Param_Ptr)

2015-12-02 Thread Lehmann, Patrick
iscuss@gna.org> Subject: Re: [Ghdl-discuss] SYSTEM.ASSERTIONS.ASSERT_FAILURE in trans.adb:393 (Set_Scope_Via_Param_Ptr) On Wed, 2 Dec 2015 11:31:46 +1300 David Koontz <diogra...@gmail.com> wrote: > If you take out the reserved word entity: > > david_koontz@Macbook: ghdl -a

Re: [Ghdl-discuss] SYSTEM.ASSERTIONS.ASSERT_FAILURE in trans.adb:393 (Set_Scope_Via_Param_Ptr)

2015-12-02 Thread Tristan Gingold
On 02/12/15 16:00, Lehmann, Patrick wrote: According to your last mail, you are using an old GHDL version: GHDL 0.33dev (20141104) There have been some fixes for the entity syntax since Nov. 2014. There is still a little bug, if you use entity syntax in recursive instantiations. See issue #2 on

Re: [Ghdl-discuss] SYSTEM.ASSERTIONS.ASSERT_FAILURE in trans.adb:393 (Set_Scope_Via_Param_Ptr)

2015-12-02 Thread Tristan Gingold
On 02/12/15 00:01, Lehmann, Patrick wrote: Hello Attila, in the instantiation code line of tdc_lbc_cls is no library referenced. You need to add "work.". Not in that case, because myEntity is directly visible. Tristan. label : entity myLibrary.myEntity generic map (...) port map

Re: [Ghdl-discuss] SYSTEM.ASSERTIONS.ASSERT_FAILURE in trans.adb:393 (Set_Scope_Via_Param_Ptr)

2015-12-02 Thread Attila Kinali
On Wed, 2 Dec 2015 11:31:46 +1300 David Koontz wrote: > If you take out the reserved word entity: > > david_koontz@Macbook: ghdl -a --std=08 tdc_lbc_cls.vhd > tdc_lbc_cls.vhd:107:21: component name expected, found entity "tdc_lbc_cls" > ghdl: compilation error > > And if

Re: [Ghdl-discuss] SYSTEM.ASSERTIONS.ASSERT_FAILURE in trans.adb:393 (Set_Scope_Via_Param_Ptr)

2015-12-01 Thread David Koontz
> On 2/12/2015, at 9:45 am, Attila Kinali wrote: > > http://attila.kinali.ch/tdc/ david_koontz@Macbook: ghdl -a --std=08 tdc_lbc_cls.vhd GHDL Bug occured Please report this bug on http://gna.org/projects/ghdl GHDL release: