[Ghdl-discuss] Use of vpi to inspect signals down in the hierarchy

2011-07-19 Thread Svenn Are Bjerkem
Hi, I am running a ghdl simulation of mc8051 and want to do some profiling by using textio to write the program counter to file. I have found by searching google that it is not possible to do this since the program counter is a couple of levels down in the hierarchy. I have so far used gtkwave on

Re: [Ghdl-discuss] parse_declarative_part(2): cannot handle IIR_KIND_GENERATE_STATEMENT

2011-10-24 Thread Svenn Are Bjerkem
On 24 October 2011 01:05, David Koontz diogra...@gmail.com wrote: I found I had difficulty searching through the Ada source, wrongly  relying on OS X's Spotlight when a recent Xcode update had deprecated indexing Ada source in it's mdimporter.    We are seeing both a need for IDE tools as

[Ghdl-discuss] My real values are not updated according to gtkwave

2011-11-29 Thread Svenn Are Bjerkem
Hi, I am simulating some code involving reals. When I use ghdl and dump the data to ghw and inspect with gtkwave, the adc_real(i) values get updated twice and then never more. When simulating with modelsim, I see that the same code result in adc_real values being updated with one clock cycle

Re: [Ghdl-discuss] My real values are not updated according to gtkwave

2011-12-04 Thread Svenn Are Bjerkem
On 4 December 2011 20:51, David Koontz diogra...@gmail.com wrote: The preferred error reporting mechanism is through the Gna site as provided by the Report a bug link on ghdl.free.fr. Unfortunately you'll find that a Gna login is required to log a bug but it does give the developer(s) a way

Re: [Ghdl-discuss] svn150 / gcc 4.7.2

2013-02-14 Thread Svenn Are Bjerkem
any git mirrors for current ghdl development? -- Svenn ___ Ghdl-discuss mailing list Ghdl-discuss@gna.org https://mail.gna.org/listinfo/ghdl-discuss

Re: [Ghdl-discuss] Debian package maintainer has retired

2013-05-26 Thread Svenn Are Bjerkem
Sometimes it is better to move the troubled kid out of the community. Having a clean-room version of the gcc version compatible with ghdl in a location where apt-get cannot touch it seems very much a way around the current problem. Problem for me as a GHDL user is that building gcc in /usr/local

[Ghdl-discuss] ghdl with qemu to emulate SoC FPGA

2013-12-07 Thread Svenn Are Bjerkem
Hi, I am currently working on a SmartFusion2 project, and did some search on the web. I found several pages describing how to write bare-metal applications for ARM Cortex-M3 using qemu. I even found a project with efforts to make a virtual development environment for PCIe on github.

Re: [Ghdl-discuss] ghdl with qemu to emulate SoC FPGA

2013-12-07 Thread Svenn Are Bjerkem
Hi, lots of answers I haven't got around to read properly, but I notice that my objectives were not clear. It all starts with the simple fact that we use SmartFusion2 in a design. We have prototypes ready and I have written a lot of VHDL for this board and a bare-metal Cortex-M3 application to

[Ghdl-discuss] [slight OT] Programming a state-machine for serial port communication

2014-02-27 Thread Svenn Are Bjerkem
Hi, this is probably slightly off-topic, but maybe related. The FPGA I am programming with VHDL is to be tested. It communicates with the outside world through UART. I send a command, receive a command and react to that command by sending a different command, or in the case of error, resend the

Re: [Ghdl-discuss] An Eclipse IDE for GHDL with GTKWAVE integrated

2014-07-12 Thread Svenn Are Bjerkem
I sometimes wish that ghdl would have a --tags switch which would create a tags file like ctags does for programming languages, but on an elaborated design. -- Svenn ___ Ghdl-discuss mailing list Ghdl-discuss@gna.org

Re: [Ghdl-discuss] An Eclipse IDE for GHDL with GTKWAVE integrated

2014-07-12 Thread Svenn Are Bjerkem
On 12 July 2014 14:39, Brian Drummond br...@shapes.demon.co.uk wrote: On Sat, 2014-07-12 at 14:35 +0200, Svenn Are Bjerkem wrote: I sometimes wish that ghdl would have a --tags switch which would create a tags file like ctags does for programming languages, but on an elaborated design

Re: [Ghdl-discuss] Release 0.32 - debian package

2014-11-03 Thread Svenn Are Bjerkem
On 4 November 2014 07:57, Joris van Rantwijk jo...@jorisvr.nl wrote: The problem with getting GHDL into debian is the IEEE library. It is not DFSG-free, to put it mildly. I don't see any way that it could be uploaded to the debian archive, and it does not seem very useful to have a GHDL

[Ghdl-discuss] cocotb runs ghdl in a loop with no output but 100% processor load

2015-08-29 Thread Svenn Are Bjerkem
Hi, I have just started looking at cocotb to create tests for my VHDL entities. cocotb comes with one example using vhdl, endian_swapper, and this example runs all the way through to execution, but then loops forever. (make SIM=ghdl GPI_IMPL=vhpi) I have no idea where to start looking, so I wrote

Re: [Ghdl-discuss] Override of generics

2015-10-02 Thread Svenn Are Bjerkem
On 2 October 2015 at 08:00, Tristan Gingold wrote: > No, it shouldn't. What were the issues ? > I'll have to get back to that when I am in front of that particular computer because I think I may mix up some details from cocotb. I am furiously trying to find some way to do

Re: [Ghdl-discuss] Next release 0.33

2015-10-01 Thread Svenn Are Bjerkem
On 2 October 2015 at 07:45, Tristan Gingold wrote: > Ie: An entity is instantiated within one of its architecture. Just curious, how to exit such a recursion? if-generate does not have an else-clause, IIRC. -- Svenn ___

Re: [Ghdl-discuss] Override of generics

2015-10-01 Thread Svenn Are Bjerkem
On 2 October 2015 at 06:42, Olof Kraigher wrote: > In the VUnit[1] test automation framework we are able to support multiple > parallel simulations using the same top level with different generic values > using --elab-run. We just ensure that different output folders are

Re: [Ghdl-discuss] Override of generics

2015-10-02 Thread Svenn Are Bjerkem
On 2 October 2015 at 08:34, Olof Kraigher wrote: > VUnit should work with all versions of GHDL. If it does not please contact > me on GitHub and I will investigate. I mainly use the LLVM version of GHDL > since I consider it to be the best one in terms of simple to build

[Ghdl-discuss] Some changes I had to do on Debian stretch/sid to compile llvm from github

2015-12-08 Thread Svenn Are Bjerkem
Hi, maybe this is already known, but I had to do a couple of modifications to get ghdl-llvm compiled as the gcc version is 5.2 and llvm is 3.6 In the 'configure' script, I changed the test for llvm-config to llvm-config-3.5 This will be overwritten by a git reset --hard unless something is done

Re: [Ghdl-discuss] Some changes I had to do on Debian stretch/sid to compile llvm from github

2015-12-08 Thread Svenn Are Bjerkem
Hi again. In the end I chose to install gnat-5 in Debian stretch/sid, and add update-alternatives for gcc, g++, clang++ ghdl compiles fine with gnat-5 and gcc/g++-5. It is having llvm 3.5 and llvm 3.6 installed at the same time which 'forces' me to add alternatives. I haven't tried using llvm

[Ghdl-discuss] Regarding issue #56

2016-06-16 Thread Svenn Are Bjerkem
Hi, motivated by Tristan's push on #56, I decided to take cocotb+ghdl for a drive again. I had to modify a bit in cocotb ghdl makefile because there was an error, so I expect nobody to have cocotb+ghdl up and running yet. Does it make a difference if I compile ghdl with gcc or llvm for cocotb?

Re: [Ghdl-discuss] GHDL and cocotb

2016-02-13 Thread Svenn Are Bjerkem
On 13 February 2016 at 19:57, Torsten Meißner wrote: > Are that problems with the VPI interface? And second question: is there > anyone on this list > which have succesfully used cocotb with GHDL? cocotb claimed to be compatible with ghdl, and I tried and failed at a

Re: [Ghdl-discuss] Synthesis for FPGAs

2016-10-05 Thread Svenn Are Bjerkem
Are we talking about something like what yosys does for verilog? If so, have the work of Tim Edwards in memory: http://www.opencircuitdesign.com/qflow/index.html Alliance and Coriolis efforts from https://soc-extras.lip6.fr/en/alliance-abstract-en/ Mostly ASIC related backend stuff, but FPGA most

Re: [Ghdl-discuss] GHDL is now available on Gitter.im

2016-11-11 Thread Svenn Are Bjerkem
Nice, anybody happen to hang out on irc, and if so, which channel on which network? On 10 November 2016 at 04:26, Patrick Lehmann wrote: > Hello, > > > > I just want to announce that Tristan created a Gitter channel for GHDL. > > URL:

[Ghdl-discuss] Something wrong with my build of ghdl, but I don't know what failed.

2016-11-14 Thread Svenn Are Bjerkem
Hi, just pulled latest ghdl from github and rebuild the way I usually do: git pull make distclean ./configure --with-llvm-config make sudo make install First thing I notice is when building a project I have built before is: ghdl -m --ieee=synopsys mc8051_top_struc_cfg elaborate

Re: [Ghdl-discuss] Something wrong with my build of ghdl, but I don't know what failed.

2016-11-14 Thread Svenn Are Bjerkem
Just an observation. When building with make, I see that gcc-5 and gcc are used like this example: gcc-5 -c -I./src -I./src/vhdl -I./src/psl -I./src/vhdl/translate -I./src/ghdldrv -I./src/grt -I./src/ortho -I./src/ortho/llvm-nodebug -I./src/ghdldrv -gnaty3befhkmr -gnatwae -gnatf -gnat05 -g -gnata

Re: [Ghdl-discuss] Something wrong with my build of ghdl, but I don't know what failed.

2016-11-14 Thread Svenn Are Bjerkem
On 14 November 2016 at 20:34, Tristan Gingold wrote: > Try to add -v options: ghdl -m -v -v ... ghdl -m -v -v --ieee=synopsys mc8051_top_struc_cfg ... lots of lines indicating that /usr/local/bin/ghdl1-llvm is doing its job ... /usr/local/bin/ghdl1-llvm:note: List of units

Re: [Ghdl-discuss] Something wrong with my build of ghdl, but I don't know what failed.

2016-11-16 Thread Svenn Are Bjerkem
On 15 November 2016 at 20:00, Tristan Gingold wrote: > > Which distribution are you using ? I am running Debian Sid, but mostly only do upgrade and not dist-upgrade to not break my system too often. > > Try to also add -Wl,-Wl,-v just after -v. I tried this, but I could not