[PATCH 4/4] arm: dts: mt2701: add uart APDMA to device tree

2017-02-16 Thread Long Cheng
1. add uart APDMA controller device node 2. add uart 0/1/2/3 DMA function 3. uart0 is console, So disable DMA 4. enable uart2 port to test DMA function. Signed-off-by: Long Cheng --- arch/arm/boot/dts/mt2701-evb.dts | 22 ++ arch/arm/boot/dts/mt2701.dtsi| 29

[PATCH] serial: 8250_mtk: support big baud rate.

2016-08-11 Thread Long Cheng
From: Eddie Huang mediatek can support baud rate up to 4M. the 'uart_get_baud_rate' function will limit the max baud rate. Modify max baud to remove the limit. Signed-off-by: Long Cheng --- drivers/tty/serial/8250/8250_mtk.c |6 +- drivers/tty/serial/8250/8250_port.c |4 +--- 2

<    1   2