Re: [netmod] Circular dependency in 'when'

2016-09-08 Thread Jernej Tuljak
> -Original Message- > From: netmod [mailto:netmod-boun...@ietf.org] On Behalf Of Ladislav > Lhotka > Sent: Wednesday, September 7, 2016 8:22 PM > To: Vladimir Vassilev <vladi...@transpacket.com> > Cc: netmod@ietf.org > Subject: Re: [netmod] Circular dependenc

Re: [netmod] Circular dependency in 'when'

2016-09-07 Thread Andy Bierman
On Wed, Sep 7, 2016 at 11:21 AM, Ladislav Lhotka wrote: > > > On 07 Sep 2016, at 19:44, Vladimir Vassilev > wrote: > > > > On 09/07/2016 02:18 PM, Martin Bjorklund wrote: > >> Hi, > >> > >> Your example is not circular, and it is legal. However, the

Re: [netmod] Circular dependency in 'when'

2016-09-07 Thread Ladislav Lhotka
> On 07 Sep 2016, at 19:44, Vladimir Vassilev wrote: > > On 09/07/2016 02:18 PM, Martin Bjorklund wrote: >> Hi, >> >> Your example is not circular, and it is legal. However, the 'when' >> expression refers to the node in which the when expression is defined. >> Note

Re: [netmod] Circular dependency in 'when'

2016-09-07 Thread Martin Bjorklund
Hi, Your example is not circular, and it is legal. However, the 'when' expression refers to the node in which the when expression is defined. Note that this expression will always evaluates to 'false' (see the third bullet in 7.21.5 in RFC 7950). Take a step back and consider what the 'when'

[netmod] Circular dependency in 'when'

2016-09-07 Thread Vladimir Vassilev
Hi, Is there any practical value of 'when' statements with circular dependency to the value of the parent (in case it is a leaf) or any children of the parent? container circular-dependency-when { leaf a { when "(. + ../b) = 100"; type uint16 { range