CVS commit: src/tests/usr.bin/indent

2023-12-17 Thread Roland Illig
Module Name:src Committed By: rillig Date: Sun Dec 17 10:02:10 UTC 2023 Modified Files: src/tests/usr.bin/indent: t_misc.sh Log Message: tests/indent: don't create an unneeded file To generate a diff of this commit: cvs rdiff -u -r1.28 -r1.29

CVS commit: src/tests/usr.bin/indent

2023-12-17 Thread Roland Illig
Module Name:src Committed By: rillig Date: Sun Dec 17 10:02:10 UTC 2023 Modified Files: src/tests/usr.bin/indent: t_misc.sh Log Message: tests/indent: don't create an unneeded file To generate a diff of this commit: cvs rdiff -u -r1.28 -r1.29

CVS commit: src/tests/usr.bin/indent

2023-12-10 Thread Roland Illig
Module Name:src Committed By: rillig Date: Sun Dec 10 15:39:25 UTC 2023 Modified Files: src/tests/usr.bin/indent: t_errors.sh Log Message: tests/indent: fix name of test case To generate a diff of this commit: cvs rdiff -u -r1.37 -r1.38

CVS commit: src/tests/usr.bin/indent

2023-12-10 Thread Roland Illig
Module Name:src Committed By: rillig Date: Sun Dec 10 15:39:25 UTC 2023 Modified Files: src/tests/usr.bin/indent: t_errors.sh Log Message: tests/indent: fix name of test case To generate a diff of this commit: cvs rdiff -u -r1.37 -r1.38

CVS commit: src/tests/usr.bin/indent

2023-12-03 Thread Roland Illig
Module Name:src Committed By: rillig Date: Sun Dec 3 14:26:10 UTC 2023 Modified Files: src/tests/usr.bin/indent: fmt_expr.c Log Message: tests/indent: test compound expressions To generate a diff of this commit: cvs rdiff -u -r1.10 -r1.11

CVS commit: src/tests/usr.bin/indent

2023-12-03 Thread Roland Illig
Module Name:src Committed By: rillig Date: Sun Dec 3 14:26:10 UTC 2023 Modified Files: src/tests/usr.bin/indent: fmt_expr.c Log Message: tests/indent: test compound expressions To generate a diff of this commit: cvs rdiff -u -r1.10 -r1.11

CVS commit: src/tests/usr.bin/indent

2023-10-22 Thread Roland Illig
Module Name:src Committed By: rillig Date: Sun Oct 22 21:03:08 UTC 2023 Modified Files: src/tests/usr.bin/indent: lsym_unary_op.c opt_bad.c Log Message: tests/indent: clean up comments To generate a diff of this commit: cvs rdiff -u -r1.12 -r1.13

CVS commit: src/tests/usr.bin/indent

2023-10-22 Thread Roland Illig
Module Name:src Committed By: rillig Date: Sun Oct 22 21:03:08 UTC 2023 Modified Files: src/tests/usr.bin/indent: lsym_unary_op.c opt_bad.c Log Message: tests/indent: clean up comments To generate a diff of this commit: cvs rdiff -u -r1.12 -r1.13

CVS commit: src/tests/usr.bin/indent

2023-06-26 Thread Roland Illig
Module Name:src Committed By: rillig Date: Tue Jun 27 04:28:16 UTC 2023 Modified Files: src/tests/usr.bin/indent: opt_badp.c Log Message: tests/indent: test the -badp option To generate a diff of this commit: cvs rdiff -u -r1.14 -r1.15

CVS commit: src/tests/usr.bin/indent

2023-06-26 Thread Roland Illig
Module Name:src Committed By: rillig Date: Tue Jun 27 04:28:16 UTC 2023 Modified Files: src/tests/usr.bin/indent: opt_badp.c Log Message: tests/indent: test the -badp option To generate a diff of this commit: cvs rdiff -u -r1.14 -r1.15

CVS commit: src/tests/usr.bin/indent

2023-06-26 Thread Roland Illig
Module Name:src Committed By: rillig Date: Mon Jun 26 12:21:18 UTC 2023 Modified Files: src/tests/usr.bin/indent: opt_dj.c t_options.lua Log Message: tests/indent: strengthen requirements for test input files Previously, 'indent run-equals-prev-output' was allowed even

CVS commit: src/tests/usr.bin/indent

2023-06-26 Thread Roland Illig
Module Name:src Committed By: rillig Date: Mon Jun 26 12:21:18 UTC 2023 Modified Files: src/tests/usr.bin/indent: opt_dj.c t_options.lua Log Message: tests/indent: strengthen requirements for test input files Previously, 'indent run-equals-prev-output' was allowed even

CVS commit: src/tests/usr.bin/indent

2023-06-26 Thread Roland Illig
Module Name:src Committed By: rillig Date: Mon Jun 26 11:01:08 UTC 2023 Modified Files: src/tests/usr.bin/indent: opt_badp.c Log Message: tests/indent: extend test for -badp option To generate a diff of this commit: cvs rdiff -u -r1.12 -r1.13

CVS commit: src/tests/usr.bin/indent

2023-06-26 Thread Roland Illig
Module Name:src Committed By: rillig Date: Mon Jun 26 11:01:08 UTC 2023 Modified Files: src/tests/usr.bin/indent: opt_badp.c Log Message: tests/indent: extend test for -badp option To generate a diff of this commit: cvs rdiff -u -r1.12 -r1.13

CVS commit: src/tests/usr.bin/indent

2023-06-23 Thread Roland Illig
Module Name:src Committed By: rillig Date: Fri Jun 23 20:44:51 UTC 2023 Modified Files: src/tests/usr.bin/indent: fmt_else_comment.c opt_bap.c psym_switch_expr.c Log Message: tests/indent: fix comments To generate a diff of this commit: cvs rdiff -u -r1.5

CVS commit: src/tests/usr.bin/indent

2023-06-23 Thread Roland Illig
Module Name:src Committed By: rillig Date: Fri Jun 23 20:44:51 UTC 2023 Modified Files: src/tests/usr.bin/indent: fmt_else_comment.c opt_bap.c psym_switch_expr.c Log Message: tests/indent: fix comments To generate a diff of this commit: cvs rdiff -u -r1.5

CVS commit: src/tests/usr.bin/indent

2023-06-18 Thread Roland Illig
Module Name:src Committed By: rillig Date: Sun Jun 18 07:29:37 UTC 2023 Modified Files: src/tests/usr.bin/indent: opt_bbb.c Log Message: indent: test block comments and the -bbb option To generate a diff of this commit: cvs rdiff -u -r1.9 -r1.10

CVS commit: src/tests/usr.bin/indent

2023-06-18 Thread Roland Illig
Module Name:src Committed By: rillig Date: Sun Jun 18 07:29:37 UTC 2023 Modified Files: src/tests/usr.bin/indent: opt_bbb.c Log Message: indent: test block comments and the -bbb option To generate a diff of this commit: cvs rdiff -u -r1.9 -r1.10

CVS commit: src/tests/usr.bin/indent

2023-06-17 Thread Roland Illig
Module Name:src Committed By: rillig Date: Sat Jun 17 22:09:25 UTC 2023 Modified Files: src/tests/usr.bin/indent: edge_cases.c lsym_comment.c lsym_funcname.c lsym_lparen_or_lbracket.c lsym_tag.c lsym_typedef.c lsym_unary_op.c lsym_word.c opt_T.c

CVS commit: src/tests/usr.bin/indent

2023-06-17 Thread Roland Illig
Module Name:src Committed By: rillig Date: Sat Jun 17 22:09:25 UTC 2023 Modified Files: src/tests/usr.bin/indent: edge_cases.c lsym_comment.c lsym_funcname.c lsym_lparen_or_lbracket.c lsym_tag.c lsym_typedef.c lsym_unary_op.c lsym_word.c opt_T.c

CVS commit: src/tests/usr.bin/indent

2023-06-16 Thread Roland Illig
Module Name:src Committed By: rillig Date: Fri Jun 16 23:19:01 UTC 2023 Modified Files: src/tests/usr.bin/indent: fmt_expr.c lsym_lbrace.c lsym_preprocessing.c lsym_semicolon.c psym_else.c psym_rbrace.c t_options.lua Log Message: tests/indent: format stderr

CVS commit: src/tests/usr.bin/indent

2023-06-16 Thread Roland Illig
Module Name:src Committed By: rillig Date: Fri Jun 16 23:19:01 UTC 2023 Modified Files: src/tests/usr.bin/indent: fmt_expr.c lsym_lbrace.c lsym_preprocessing.c lsym_semicolon.c psym_else.c psym_rbrace.c t_options.lua Log Message: tests/indent: format stderr

CVS commit: src/tests/usr.bin/indent

2023-06-16 Thread Roland Illig
Module Name:src Committed By: rillig Date: Fri Jun 16 13:43:30 UTC 2023 Modified Files: src/tests/usr.bin/indent: lsym_rparen_or_rbracket.c Log Message: tests/indent: add a few code snippets from usr.bin/xlint To generate a diff of this commit: cvs rdiff -u -r1.5 -r1.6

CVS commit: src/tests/usr.bin/indent

2023-06-16 Thread Roland Illig
Module Name:src Committed By: rillig Date: Fri Jun 16 13:43:30 UTC 2023 Modified Files: src/tests/usr.bin/indent: lsym_rparen_or_rbracket.c Log Message: tests/indent: add a few code snippets from usr.bin/xlint To generate a diff of this commit: cvs rdiff -u -r1.5 -r1.6

CVS commit: src/tests/usr.bin/indent

2023-06-16 Thread Roland Illig
Module Name:src Committed By: rillig Date: Fri Jun 16 11:58:33 UTC 2023 Modified Files: src/tests/usr.bin/indent: lsym_typedef.c Log Message: tests/indent: demonstrate wrong tokenization after typedef Since 2023-06-10 06:52, which fixed the indentation of C11 _Generic

CVS commit: src/tests/usr.bin/indent

2023-06-16 Thread Roland Illig
Module Name:src Committed By: rillig Date: Fri Jun 16 11:58:33 UTC 2023 Modified Files: src/tests/usr.bin/indent: lsym_typedef.c Log Message: tests/indent: demonstrate wrong tokenization after typedef Since 2023-06-10 06:52, which fixed the indentation of C11 _Generic

CVS commit: src/tests/usr.bin/indent

2023-06-14 Thread Roland Illig
Module Name:src Committed By: rillig Date: Wed Jun 14 17:07:33 UTC 2023 Modified Files: src/tests/usr.bin/indent: fmt_expr.c lsym_preprocessing.c psym_else.c t_options.lua Log Message: tests/indent: separate stdout from stderr Due to buffering, there was no

CVS commit: src/tests/usr.bin/indent

2023-06-14 Thread Roland Illig
Module Name:src Committed By: rillig Date: Wed Jun 14 17:07:33 UTC 2023 Modified Files: src/tests/usr.bin/indent: fmt_expr.c lsym_preprocessing.c psym_else.c t_options.lua Log Message: tests/indent: separate stdout from stderr Due to buffering, there was no

CVS commit: src/tests/usr.bin/indent

2023-06-10 Thread Roland Illig
Module Name:src Committed By: rillig Date: Sat Jun 10 17:56:29 UTC 2023 Modified Files: src/tests/usr.bin/indent: fmt_decl.c psym_if_expr.c Log Message: tests/indent: add move coverage tests To generate a diff of this commit: cvs rdiff -u -r1.53 -r1.54

CVS commit: src/tests/usr.bin/indent

2023-06-10 Thread Roland Illig
Module Name:src Committed By: rillig Date: Sat Jun 10 17:56:29 UTC 2023 Modified Files: src/tests/usr.bin/indent: fmt_decl.c psym_if_expr.c Log Message: tests/indent: add move coverage tests To generate a diff of this commit: cvs rdiff -u -r1.53 -r1.54

CVS commit: src/tests/usr.bin/indent

2023-06-09 Thread Roland Illig
Module Name:src Committed By: rillig Date: Fri Jun 9 09:45:55 UTC 2023 Modified Files: src/tests/usr.bin/indent: fmt_decl.c fmt_expr.c psym_stmt.c Log Message: tests/indent: add real-life test cases from make(1) and lint(1) To generate a diff of this commit: cvs rdiff

CVS commit: src/tests/usr.bin/indent

2023-06-09 Thread Roland Illig
Module Name:src Committed By: rillig Date: Fri Jun 9 09:45:55 UTC 2023 Modified Files: src/tests/usr.bin/indent: fmt_decl.c fmt_expr.c psym_stmt.c Log Message: tests/indent: add real-life test cases from make(1) and lint(1) To generate a diff of this commit: cvs rdiff

CVS commit: src/tests/usr.bin/indent

2023-06-09 Thread Roland Illig
Module Name:src Committed By: rillig Date: Fri Jun 9 07:54:05 UTC 2023 Modified Files: src/tests/usr.bin/indent: opt_eei.c Log Message: tests/indent: test extra indentation in expressions To generate a diff of this commit: cvs rdiff -u -r1.12 -r1.13

CVS commit: src/tests/usr.bin/indent

2023-06-09 Thread Roland Illig
Module Name:src Committed By: rillig Date: Fri Jun 9 07:54:05 UTC 2023 Modified Files: src/tests/usr.bin/indent: opt_eei.c Log Message: tests/indent: test extra indentation in expressions To generate a diff of this commit: cvs rdiff -u -r1.12 -r1.13

CVS commit: src/tests/usr.bin/indent

2023-06-08 Thread Roland Illig
Module Name:src Committed By: rillig Date: Thu Jun 8 20:49:04 UTC 2023 Modified Files: src/tests/usr.bin/indent: lsym_lparen_or_lbracket.c lsym_unary_op.c Log Message: tests/indent: add more tests, from indent's own code To generate a diff of this commit: cvs rdiff -u

CVS commit: src/tests/usr.bin/indent

2023-06-08 Thread Roland Illig
Module Name:src Committed By: rillig Date: Thu Jun 8 20:49:04 UTC 2023 Modified Files: src/tests/usr.bin/indent: lsym_lparen_or_lbracket.c lsym_unary_op.c Log Message: tests/indent: add more tests, from indent's own code To generate a diff of this commit: cvs rdiff -u

CVS commit: src/tests/usr.bin/indent

2023-06-08 Thread Roland Illig
Module Name:src Committed By: rillig Date: Thu Jun 8 20:28:50 UTC 2023 Modified Files: src/tests/usr.bin/indent: opt_lp.c Log Message: tests/indent: extend test for wrong indentation in 'else if' To generate a diff of this commit: cvs rdiff -u -r1.7 -r1.8

CVS commit: src/tests/usr.bin/indent

2023-06-08 Thread Roland Illig
Module Name:src Committed By: rillig Date: Thu Jun 8 20:28:50 UTC 2023 Modified Files: src/tests/usr.bin/indent: opt_lp.c Log Message: tests/indent: extend test for wrong indentation in 'else if' To generate a diff of this commit: cvs rdiff -u -r1.7 -r1.8

CVS commit: src/tests/usr.bin/indent

2023-06-05 Thread Roland Illig
Module Name:src Committed By: rillig Date: Tue Jun 6 05:39:49 UTC 2023 Modified Files: src/tests/usr.bin/indent: lsym_comment.c Log Message: tests/indent: comments with trailing whitespace may fit in a single line To generate a diff of this commit: cvs rdiff -u -r1.16

CVS commit: src/tests/usr.bin/indent

2023-06-05 Thread Roland Illig
Module Name:src Committed By: rillig Date: Tue Jun 6 05:39:49 UTC 2023 Modified Files: src/tests/usr.bin/indent: lsym_comment.c Log Message: tests/indent: comments with trailing whitespace may fit in a single line To generate a diff of this commit: cvs rdiff -u -r1.16

CVS commit: src/tests/usr.bin/indent

2023-06-05 Thread Roland Illig
Module Name:src Committed By: rillig Date: Mon Jun 5 15:02:54 UTC 2023 Modified Files: src/tests/usr.bin/indent: fmt_decl.c opt_dj.c Log Message: tests/indent: add test for left-justifying declarations To generate a diff of this commit: cvs rdiff -u -r1.48 -r1.49

CVS commit: src/tests/usr.bin/indent

2023-06-05 Thread Roland Illig
Module Name:src Committed By: rillig Date: Mon Jun 5 15:02:54 UTC 2023 Modified Files: src/tests/usr.bin/indent: fmt_decl.c opt_dj.c Log Message: tests/indent: add test for left-justifying declarations To generate a diff of this commit: cvs rdiff -u -r1.48 -r1.49

CVS commit: src/tests/usr.bin/indent

2023-06-05 Thread Roland Illig
Module Name:src Committed By: rillig Date: Mon Jun 5 08:10:25 UTC 2023 Modified Files: src/tests/usr.bin/indent: lsym_do.c psym_do.c Log Message: tests/indent: add tests for 'do-while' loops To generate a diff of this commit: cvs rdiff -u -r1.7 -r1.8

CVS commit: src/tests/usr.bin/indent

2023-06-05 Thread Roland Illig
Module Name:src Committed By: rillig Date: Mon Jun 5 08:10:25 UTC 2023 Modified Files: src/tests/usr.bin/indent: lsym_do.c psym_do.c Log Message: tests/indent: add tests for 'do-while' loops To generate a diff of this commit: cvs rdiff -u -r1.7 -r1.8

CVS commit: src/tests/usr.bin/indent

2023-06-04 Thread Roland Illig
Module Name:src Committed By: rillig Date: Sun Jun 4 22:20:04 UTC 2023 Modified Files: src/tests/usr.bin/indent: indent_off_on.c lsym_binary_op.c lsym_type_outside_parentheses.c t_errors.sh Log Message: tests/indent: cover code in lexi.c To generate a diff

CVS commit: src/tests/usr.bin/indent

2023-06-04 Thread Roland Illig
Module Name:src Committed By: rillig Date: Sun Jun 4 22:20:04 UTC 2023 Modified Files: src/tests/usr.bin/indent: indent_off_on.c lsym_binary_op.c lsym_type_outside_parentheses.c t_errors.sh Log Message: tests/indent: cover code in lexi.c To generate a diff

CVS commit: src/tests/usr.bin/indent

2023-06-04 Thread Roland Illig
Module Name:src Committed By: rillig Date: Sun Jun 4 19:28:54 UTC 2023 Modified Files: src/tests/usr.bin/indent: lex_ident.c lsym_binary_op.c opt_badp.c Log Message: tests/indent: clean up comments To generate a diff of this commit: cvs rdiff -u -r1.6 -r1.7

CVS commit: src/tests/usr.bin/indent

2023-06-04 Thread Roland Illig
Module Name:src Committed By: rillig Date: Sun Jun 4 19:28:54 UTC 2023 Modified Files: src/tests/usr.bin/indent: lex_ident.c lsym_binary_op.c opt_badp.c Log Message: tests/indent: clean up comments To generate a diff of this commit: cvs rdiff -u -r1.6 -r1.7

CVS commit: src/tests/usr.bin/indent

2023-06-02 Thread Roland Illig
Module Name:src Committed By: rillig Date: Fri Jun 2 11:26:21 UTC 2023 Modified Files: src/tests/usr.bin/indent: opt_bc.c Log Message: tests/indent: demonstrate bugs when formatting preprocessing lines To generate a diff of this commit: cvs rdiff -u -r1.6 -r1.7

CVS commit: src/tests/usr.bin/indent

2023-06-02 Thread Roland Illig
Module Name:src Committed By: rillig Date: Fri Jun 2 11:26:21 UTC 2023 Modified Files: src/tests/usr.bin/indent: opt_bc.c Log Message: tests/indent: demonstrate bugs when formatting preprocessing lines To generate a diff of this commit: cvs rdiff -u -r1.6 -r1.7

CVS commit: src/tests/usr.bin/indent

2023-05-23 Thread Roland Illig
Module Name:src Committed By: rillig Date: Tue May 23 06:18:00 UTC 2023 Modified Files: src/tests/usr.bin/indent: fmt_decl.c lsym_for.c lsym_unary_op.c opt_bap.c opt_lp.c opt_sob.c Log Message: tests/indent: add code snippets found in make and lint1 To

CVS commit: src/tests/usr.bin/indent

2023-05-23 Thread Roland Illig
Module Name:src Committed By: rillig Date: Tue May 23 06:18:00 UTC 2023 Modified Files: src/tests/usr.bin/indent: fmt_decl.c lsym_for.c lsym_unary_op.c opt_bap.c opt_lp.c opt_sob.c Log Message: tests/indent: add code snippets found in make and lint1 To

CVS commit: src/tests/usr.bin/indent

2023-05-22 Thread Roland Illig
Module Name:src Committed By: rillig Date: Mon May 22 23:01:27 UTC 2023 Modified Files: src/tests/usr.bin/indent: edge_cases.c lsym_do.c lsym_funcname.c Log Message: tests/indent: refine a few tests To generate a diff of this commit: cvs rdiff -u -r1.1 -r1.2

CVS commit: src/tests/usr.bin/indent

2023-05-22 Thread Roland Illig
Module Name:src Committed By: rillig Date: Mon May 22 23:01:27 UTC 2023 Modified Files: src/tests/usr.bin/indent: edge_cases.c lsym_do.c lsym_funcname.c Log Message: tests/indent: refine a few tests To generate a diff of this commit: cvs rdiff -u -r1.1 -r1.2

CVS commit: src/tests/usr.bin/indent

2023-05-22 Thread Roland Illig
Module Name:src Committed By: rillig Date: Mon May 22 06:35:56 UTC 2023 Modified Files: src/tests/usr.bin/indent: t_options.lua Log Message: tests/indent: skip creating an intermediate file in tests To generate a diff of this commit: cvs rdiff -u -r1.3 -r1.4

CVS commit: src/tests/usr.bin/indent

2023-05-22 Thread Roland Illig
Module Name:src Committed By: rillig Date: Mon May 22 06:35:56 UTC 2023 Modified Files: src/tests/usr.bin/indent: t_options.lua Log Message: tests/indent: skip creating an intermediate file in tests To generate a diff of this commit: cvs rdiff -u -r1.3 -r1.4

CVS commit: src/tests/usr.bin/indent

2023-05-20 Thread Roland Illig
Module Name:src Committed By: rillig Date: Sat May 20 22:08:07 UTC 2023 Modified Files: src/tests/usr.bin/indent: t_options.lua Log Message: tests/indent: prevent 'indent end' with arguments To generate a diff of this commit: cvs rdiff -u -r1.1 -r1.2

CVS commit: src/tests/usr.bin/indent

2023-05-20 Thread Roland Illig
Module Name:src Committed By: rillig Date: Sat May 20 22:08:07 UTC 2023 Modified Files: src/tests/usr.bin/indent: t_options.lua Log Message: tests/indent: prevent 'indent end' with arguments To generate a diff of this commit: cvs rdiff -u -r1.1 -r1.2

CVS commit: src/tests/usr.bin/indent

2023-05-20 Thread Roland Illig
Module Name:src Committed By: rillig Date: Sat May 20 17:31:53 UTC 2023 Modified Files: src/tests/usr.bin/indent: t_options.awk Log Message: tests/indent: clean up test driver No functional change. To generate a diff of this commit: cvs rdiff -u -r1.12 -r1.13

CVS commit: src/tests/usr.bin/indent

2023-05-20 Thread Roland Illig
Module Name:src Committed By: rillig Date: Sat May 20 17:31:53 UTC 2023 Modified Files: src/tests/usr.bin/indent: t_options.awk Log Message: tests/indent: clean up test driver No functional change. To generate a diff of this commit: cvs rdiff -u -r1.12 -r1.13

CVS commit: src/tests/usr.bin/indent

2023-05-19 Thread Roland Illig
Module Name:src Committed By: rillig Date: Fri May 19 07:05:26 UTC 2023 Modified Files: src/tests/usr.bin/indent: opt_cdb.c Log Message: tests/indent: test multi-line comments with -ncdb To generate a diff of this commit: cvs rdiff -u -r1.8 -r1.9

CVS commit: src/tests/usr.bin/indent

2023-05-19 Thread Roland Illig
Module Name:src Committed By: rillig Date: Fri May 19 07:05:26 UTC 2023 Modified Files: src/tests/usr.bin/indent: opt_cdb.c Log Message: tests/indent: test multi-line comments with -ncdb To generate a diff of this commit: cvs rdiff -u -r1.8 -r1.9

CVS commit: src/tests/usr.bin/indent

2023-05-15 Thread Roland Illig
Module Name:src Committed By: rillig Date: Mon May 15 17:51:49 UTC 2023 Modified Files: src/tests/usr.bin/indent: lsym_type_outside_parentheses.c Log Message: tests/indent: test type detection To generate a diff of this commit: cvs rdiff -u -r1.3 -r1.4 \

CVS commit: src/tests/usr.bin/indent

2023-05-15 Thread Roland Illig
Module Name:src Committed By: rillig Date: Mon May 15 17:51:49 UTC 2023 Modified Files: src/tests/usr.bin/indent: lsym_type_outside_parentheses.c Log Message: tests/indent: test type detection To generate a diff of this commit: cvs rdiff -u -r1.3 -r1.4 \

CVS commit: src/tests/usr.bin/indent

2023-05-15 Thread Roland Illig
Module Name:src Committed By: rillig Date: Mon May 15 17:38:56 UTC 2023 Modified Files: src/tests/usr.bin/indent: t_misc.sh t_options.awk Log Message: indent: remove accidental space before tab To generate a diff of this commit: cvs rdiff -u -r1.24 -r1.25

CVS commit: src/tests/usr.bin/indent

2023-05-15 Thread Roland Illig
Module Name:src Committed By: rillig Date: Mon May 15 17:38:56 UTC 2023 Modified Files: src/tests/usr.bin/indent: t_misc.sh t_options.awk Log Message: indent: remove accidental space before tab To generate a diff of this commit: cvs rdiff -u -r1.24 -r1.25

CVS commit: src/tests/usr.bin/indent

2023-05-15 Thread Roland Illig
Module Name:src Committed By: rillig Date: Mon May 15 15:04:48 UTC 2023 Modified Files: src/tests/usr.bin/indent: fmt_decl.c Log Message: tests/indent: demonstrate wrong spacing after function prototype To generate a diff of this commit: cvs rdiff -u -r1.39 -r1.40

CVS commit: src/tests/usr.bin/indent

2023-05-15 Thread Roland Illig
Module Name:src Committed By: rillig Date: Mon May 15 15:04:48 UTC 2023 Modified Files: src/tests/usr.bin/indent: fmt_decl.c Log Message: tests/indent: demonstrate wrong spacing after function prototype To generate a diff of this commit: cvs rdiff -u -r1.39 -r1.40

CVS commit: src/tests/usr.bin/indent

2023-05-15 Thread Roland Illig
Module Name:src Committed By: rillig Date: Mon May 15 13:53:32 UTC 2023 Modified Files: src/tests/usr.bin/indent: lsym_tag.c Log Message: tests/indent: demonstrate wrong indentation of struct members To generate a diff of this commit: cvs rdiff -u -r1.5 -r1.6

CVS commit: src/tests/usr.bin/indent

2023-05-15 Thread Roland Illig
Module Name:src Committed By: rillig Date: Mon May 15 13:53:32 UTC 2023 Modified Files: src/tests/usr.bin/indent: lsym_tag.c Log Message: tests/indent: demonstrate wrong indentation of struct members To generate a diff of this commit: cvs rdiff -u -r1.5 -r1.6

CVS commit: src/tests/usr.bin/indent

2023-05-15 Thread Roland Illig
Module Name:src Committed By: rillig Date: Mon May 15 13:28:05 UTC 2023 Modified Files: src/tests/usr.bin/indent: opt_eei.c Log Message: tests/indent: add another test for -eei -nlp To generate a diff of this commit: cvs rdiff -u -r1.10 -r1.11

CVS commit: src/tests/usr.bin/indent

2023-05-15 Thread Roland Illig
Module Name:src Committed By: rillig Date: Mon May 15 13:28:05 UTC 2023 Modified Files: src/tests/usr.bin/indent: opt_eei.c Log Message: tests/indent: add another test for -eei -nlp To generate a diff of this commit: cvs rdiff -u -r1.10 -r1.11

CVS commit: src/tests/usr.bin/indent

2023-05-15 Thread Roland Illig
Module Name:src Committed By: rillig Date: Mon May 15 12:11:07 UTC 2023 Modified Files: src/tests/usr.bin/indent: opt_eei.c Log Message: tests/indent: test extra indentation with fixed continuation indentation To generate a diff of this commit: cvs rdiff -u -r1.8 -r1.9

CVS commit: src/tests/usr.bin/indent

2023-05-15 Thread Roland Illig
Module Name:src Committed By: rillig Date: Mon May 15 12:11:07 UTC 2023 Modified Files: src/tests/usr.bin/indent: opt_eei.c Log Message: tests/indent: test extra indentation with fixed continuation indentation To generate a diff of this commit: cvs rdiff -u -r1.8 -r1.9

CVS commit: src/tests/usr.bin/indent

2023-05-15 Thread Roland Illig
Module Name:src Committed By: rillig Date: Mon May 15 09:05:08 UTC 2023 Modified Files: src/tests/usr.bin/indent: opt_badp.c Log Message: tests/indent: -badp should not add blank lines in initializers To generate a diff of this commit: cvs rdiff -u -r1.10 -r1.11

CVS commit: src/tests/usr.bin/indent

2023-05-15 Thread Roland Illig
Module Name:src Committed By: rillig Date: Mon May 15 09:05:08 UTC 2023 Modified Files: src/tests/usr.bin/indent: opt_badp.c Log Message: tests/indent: -badp should not add blank lines in initializers To generate a diff of this commit: cvs rdiff -u -r1.10 -r1.11

CVS commit: src/tests/usr.bin/indent

2023-05-15 Thread Roland Illig
Module Name:src Committed By: rillig Date: Mon May 15 08:22:23 UTC 2023 Modified Files: src/tests/usr.bin/indent: psym_for_exprs.c Log Message: tests/indent: demonstrate wrong spacing in for loops To generate a diff of this commit: cvs rdiff -u -r1.4 -r1.5

CVS commit: src/tests/usr.bin/indent

2023-05-15 Thread Roland Illig
Module Name:src Committed By: rillig Date: Mon May 15 08:22:23 UTC 2023 Modified Files: src/tests/usr.bin/indent: psym_for_exprs.c Log Message: tests/indent: demonstrate wrong spacing in for loops To generate a diff of this commit: cvs rdiff -u -r1.4 -r1.5

CVS commit: src/tests/usr.bin/indent

2023-05-13 Thread Roland Illig
Module Name:src Committed By: rillig Date: Sat May 13 14:19:14 UTC 2023 Modified Files: src/tests/usr.bin/indent: opt_bad.c Log Message: tests/indent: extend test for blank line after declaration To generate a diff of this commit: cvs rdiff -u -r1.7 -r1.8

CVS commit: src/tests/usr.bin/indent

2023-05-13 Thread Roland Illig
Module Name:src Committed By: rillig Date: Sat May 13 14:19:14 UTC 2023 Modified Files: src/tests/usr.bin/indent: opt_bad.c Log Message: tests/indent: extend test for blank line after declaration To generate a diff of this commit: cvs rdiff -u -r1.7 -r1.8

CVS commit: src/tests/usr.bin/indent

2023-05-13 Thread Roland Illig
Module Name:src Committed By: rillig Date: Sat May 13 06:52:48 UTC 2023 Modified Files: src/tests/usr.bin/indent: fmt_block.c fmt_decl.c lsym_binary_op.c lsym_comma.c lsym_comment.c lsym_do.c lsym_unary_op.c lsym_while.c t_misc.sh Log Message:

CVS commit: src/tests/usr.bin/indent

2023-05-13 Thread Roland Illig
Module Name:src Committed By: rillig Date: Sat May 13 06:52:48 UTC 2023 Modified Files: src/tests/usr.bin/indent: fmt_block.c fmt_decl.c lsym_binary_op.c lsym_comma.c lsym_comment.c lsym_do.c lsym_unary_op.c lsym_while.c t_misc.sh Log Message:

CVS commit: src/tests/usr.bin/indent

2023-05-11 Thread Roland Illig
Module Name:src Committed By: rillig Date: Thu May 11 21:36:31 UTC 2023 Modified Files: src/tests/usr.bin/indent: lsym_preprocessing.c Log Message: tests/indent: test interaction between preprocessing and comments To generate a diff of this commit: cvs rdiff -u -r1.8

CVS commit: src/tests/usr.bin/indent

2023-05-11 Thread Roland Illig
Module Name:src Committed By: rillig Date: Thu May 11 21:36:31 UTC 2023 Modified Files: src/tests/usr.bin/indent: lsym_preprocessing.c Log Message: tests/indent: test interaction between preprocessing and comments To generate a diff of this commit: cvs rdiff -u -r1.8

CVS commit: src/tests/usr.bin/indent

2023-05-11 Thread Roland Illig
Module Name:src Committed By: rillig Date: Thu May 11 18:36:36 UTC 2023 Modified Files: src/tests/usr.bin/indent: lsym_preprocessing.c Log Message: tests/indent: test multi-line comments in preprocessing lines To generate a diff of this commit: cvs rdiff -u -r1.5 -r1.6

CVS commit: src/tests/usr.bin/indent

2023-05-11 Thread Roland Illig
Module Name:src Committed By: rillig Date: Thu May 11 18:36:36 UTC 2023 Modified Files: src/tests/usr.bin/indent: lsym_preprocessing.c Log Message: tests/indent: test multi-line comments in preprocessing lines To generate a diff of this commit: cvs rdiff -u -r1.5 -r1.6

CVS commit: src/tests/usr.bin/indent

2022-04-24 Thread Roland Illig
Module Name:src Committed By: rillig Date: Sun Apr 24 09:04:12 UTC 2022 Modified Files: src/tests/usr.bin/indent: Makefile fmt_block.c fmt_decl.c fmt_else_comment.c fmt_expr.c indent_off_on.c label.c lex_char.c lex_ident.c lex_string.c

CVS commit: src/tests/usr.bin/indent

2022-04-24 Thread Roland Illig
Module Name:src Committed By: rillig Date: Sun Apr 24 08:52:44 UTC 2022 Modified Files: src/tests/usr.bin/indent: fmt_decl.c lsym_offsetof.c opt_bacc.c opt_badp.c opt_bs.c opt_ci.c opt_fcb.c opt_l.c t_options.awk token_comment.c

CVS commit: src/tests/usr.bin/indent

2022-04-24 Thread Roland Illig
Module Name:src Committed By: rillig Date: Sun Apr 24 08:52:44 UTC 2022 Modified Files: src/tests/usr.bin/indent: fmt_decl.c lsym_offsetof.c opt_bacc.c opt_badp.c opt_bs.c opt_ci.c opt_fcb.c opt_l.c t_options.awk token_comment.c

CVS commit: src/tests/usr.bin/indent

2022-04-23 Thread Roland Illig
Module Name:src Committed By: rillig Date: Sat Apr 23 17:25:58 UTC 2022 Modified Files: src/tests/usr.bin/indent: lsym_lparen_or_lbracket.c token_binary_op.c Log Message: tests/indent: add tests for unary and binary operators To generate a diff of this commit: cvs rdiff

CVS commit: src/tests/usr.bin/indent

2022-04-23 Thread Roland Illig
Module Name:src Committed By: rillig Date: Sat Apr 23 17:25:58 UTC 2022 Modified Files: src/tests/usr.bin/indent: lsym_lparen_or_lbracket.c token_binary_op.c Log Message: tests/indent: add tests for unary and binary operators To generate a diff of this commit: cvs rdiff

CVS commit: src/tests/usr.bin/indent

2022-04-23 Thread Roland Illig
Module Name:src Committed By: rillig Date: Sat Apr 23 09:35:26 UTC 2022 Modified Files: src/tests/usr.bin/indent: lsym_binary_op.c lsym_unary_op.c token_binary_op.c Log Message: tests/indent: migrate tests for unary and binary operators To generate a diff of

CVS commit: src/tests/usr.bin/indent

2022-04-23 Thread Roland Illig
Module Name:src Committed By: rillig Date: Sat Apr 23 09:35:26 UTC 2022 Modified Files: src/tests/usr.bin/indent: lsym_binary_op.c lsym_unary_op.c token_binary_op.c Log Message: tests/indent: migrate tests for unary and binary operators To generate a diff of

CVS commit: src/tests/usr.bin/indent

2022-02-13 Thread Roland Illig
Module Name:src Committed By: rillig Date: Sun Feb 13 12:04:37 UTC 2022 Modified Files: src/tests/usr.bin/indent: lsym_lparen_or_lbracket.c Log Message: tests/indent: test token '(' There are already some tests in token_lparen.c that need to be merged. To generate a

CVS commit: src/tests/usr.bin/indent

2022-02-13 Thread Roland Illig
Module Name:src Committed By: rillig Date: Sun Feb 13 12:04:37 UTC 2022 Modified Files: src/tests/usr.bin/indent: lsym_lparen_or_lbracket.c Log Message: tests/indent: test token '(' There are already some tests in token_lparen.c that need to be merged. To generate a

CVS commit: src/tests/usr.bin/indent

2022-02-13 Thread Roland Illig
Module Name:src Committed By: rillig Date: Sun Feb 13 11:07:48 UTC 2022 Modified Files: src/tests/usr.bin/indent: lsym_lbrace.c lsym_lparen_or_lbracket.c t_errors.sh Log Message: tests/indent: demonstrate the poor handling of compound literals To generate a

CVS commit: src/tests/usr.bin/indent

2022-02-13 Thread Roland Illig
Module Name:src Committed By: rillig Date: Sun Feb 13 11:07:48 UTC 2022 Modified Files: src/tests/usr.bin/indent: lsym_lbrace.c lsym_lparen_or_lbracket.c t_errors.sh Log Message: tests/indent: demonstrate the poor handling of compound literals To generate a

CVS commit: src/tests/usr.bin/indent

2022-02-12 Thread Roland Illig
Module Name:src Committed By: rillig Date: Sat Feb 12 19:46:56 UTC 2022 Modified Files: src/tests/usr.bin/indent: lsym_tag.c Log Message: tests/indent: add another test for indentation of enum constants To prevent an overzealous quick hack for indenting enum constants in

CVS commit: src/tests/usr.bin/indent

2022-02-12 Thread Roland Illig
Module Name:src Committed By: rillig Date: Sat Feb 12 19:46:56 UTC 2022 Modified Files: src/tests/usr.bin/indent: lsym_tag.c Log Message: tests/indent: add another test for indentation of enum constants To prevent an overzealous quick hack for indenting enum constants in

CVS commit: src/tests/usr.bin/indent

2022-02-12 Thread Roland Illig
Module Name:src Committed By: rillig Date: Sat Feb 12 13:38:29 UTC 2022 Modified Files: src/tests/usr.bin/indent: lsym_typedef.c Log Message: tests/indent: demonstrate wrong formatting of enum (since 2019-04-04) Reported by me in PR#55453. To generate a diff of this

  1   2   3   4   >