CVS commit: src/sys/dev/usb

2020-12-10 Thread Nick Hudson
Module Name:src Committed By: skrll Date: Thu Dec 10 20:48:33 UTC 2020 Modified Files: src/sys/dev/usb: ohci.c Log Message: Remove leading space that crept in in the last change To generate a diff of this commit: cvs rdiff -u -r1.311 -r1.312 src/sys/dev/usb/ohci.c

CVS commit: src/sys/dev/usb

2020-12-08 Thread Nick Hudson
Module Name:src Committed By: skrll Date: Wed Dec 9 07:10:01 UTC 2020 Modified Files: src/sys/dev/usb: ohci.c ohcivar.h Log Message: Restructure the abort code for TD based transfers (ctrl, bulk, intr). In PR kern/22646 some TDs can be on the done queue when the abort

CVS commit: src/sys/dev/usb

2020-11-29 Thread Taylor R Campbell
Module Name:src Committed By: riastradh Date: Mon Nov 30 00:48:35 UTC 2020 Modified Files: src/sys/dev/usb: uhid.c Log Message: uhid(4): Omit needless softint indirection. USB xfer callbacks already run in softint context at IPL_SOFTSERIAL, and I see no reason why the

CVS commit: src/sys/dev/usb

2020-11-29 Thread Taylor R Campbell
Module Name:src Committed By: riastradh Date: Sun Nov 29 22:54:51 UTC 2020 Modified Files: src/sys/dev/usb: uhid.c uhidev.c uhidev.h Log Message: usb: Overhaul uhid(4) and uhidev(4) locking. - uhidev API rules: 1. Call uhidev_open when you want exclusive use of a

CVS commit: src/sys/dev/usb

2020-10-27 Thread matthew green
Module Name:src Committed By: mrg Date: Wed Oct 28 01:51:45 UTC 2020 Modified Files: src/sys/dev/usb: usbnet.h Log Message: s/USENET/USBNET/ in another place i just happened to find by typo-ing "UBS" as "USE". To generate a diff of this commit: cvs rdiff -u -r1.18

CVS commit: src/sys/dev/usb

2020-10-27 Thread matthew green
Module Name:src Committed By: mrg Date: Tue Oct 27 13:46:34 UTC 2020 Modified Files: src/sys/dev/usb: usbnet.h Log Message: s/UBS/USB/ in a macro and its use. pointed out by nisimura@. To generate a diff of this commit: cvs rdiff -u -r1.17 -r1.18

CVS commit: src/sys/dev/usb

2020-10-26 Thread matthew green
Module Name:src Committed By: mrg Date: Mon Oct 26 12:24:10 UTC 2020 Modified Files: src/sys/dev/usb: ucom.c Log Message: properly wait for refcounts to drain. fixes panic at detach that jmnceill saw. XXX: pullup-[89]. To generate a diff of this commit: cvs rdiff -u

CVS commit: src/sys/dev/usb

2020-10-15 Thread Jared D. McNeill
Module Name:src Committed By: jmcneill Date: Thu Oct 15 09:37:41 UTC 2020 Modified Files: src/sys/dev/usb: xhci.c Log Message: Rename xhci_op_barrier to xhci_barrier and remove offset/length parameters. Barriers will be applied to the entire XHCI register space. To

CVS commit: src/sys/dev/usb

2020-10-10 Thread Jared D. McNeill
Module Name:src Committed By: jmcneill Date: Sat Oct 10 21:47:43 UTC 2020 Modified Files: src/sys/dev/usb: ums.c Log Message: For absolute pointers, report min/max X and Y values using WSMOUSEIO_[SG]CALIBCOORDS ioctl. To generate a diff of this commit: cvs rdiff -u

CVS commit: src/sys/dev/usb

2020-09-20 Thread Andreas Gustafsson
Module Name:src Committed By: gson Date: Sun Sep 20 09:08:01 UTC 2020 Modified Files: src/sys/dev/usb: ehci.c Log Message: Talk Like a Pirate Day was yesterday. To generate a diff of this commit: cvs rdiff -u -r1.281 -r1.282 src/sys/dev/usb/ehci.c Please note that

CVS commit: src/sys/dev/usb

2020-09-12 Thread Michael Lorenz
Module Name:src Committed By: macallan Date: Sat Sep 12 18:10:38 UTC 2020 Modified Files: src/sys/dev/usb: ukbd.c Log Message: send PMF events for keyboard brightness hotkeys on apple laptops To generate a diff of this commit: cvs rdiff -u -r1.146 -r1.147

CVS commit: src/sys/dev/usb

2020-09-07 Thread Ryo ONODERA
Module Name:src Committed By: ryoon Date: Mon Sep 7 06:32:13 UTC 2020 Modified Files: src/sys/dev/usb: usbdevs Log Message: Add Buffalo BWC-35H01 or BWC-30L01 To generate a diff of this commit: cvs rdiff -u -r1.785 -r1.786 src/sys/dev/usb/usbdevs Please note that

CVS commit: src/sys/dev/usb

2020-08-28 Thread Taylor R Campbell
Module Name:src Committed By: riastradh Date: Fri Aug 28 19:02:19 UTC 2020 Modified Files: src/sys/dev/usb: if_atu.c Log Message: atu(4): Reject packets larger than MCLBYTES. To generate a diff of this commit: cvs rdiff -u -r1.72 -r1.73 src/sys/dev/usb/if_atu.c Please

CVS commit: src/sys/dev/usb

2020-08-28 Thread Taylor R Campbell
Module Name:src Committed By: riastradh Date: Fri Aug 28 17:05:32 UTC 2020 Modified Files: src/sys/dev/usb: usbnet.c Log Message: usbnet: Reject buflen>MCLBYTES in usbnet_newbuf. To generate a diff of this commit: cvs rdiff -u -r1.38 -r1.39 src/sys/dev/usb/usbnet.c

CVS commit: src/sys/dev/usb

2020-08-21 Thread Jonathan A. Kollasch
Module Name:src Committed By: jakllsch Date: Fri Aug 21 20:46:04 UTC 2020 Modified Files: src/sys/dev/usb: xhci.c xhcivar.h Log Message: xhci(4): initial support for Isochronous pipes Needs more cleanup, and more work, particularly transaction scheduling may need to be

CVS commit: src/sys/dev/usb

2020-08-21 Thread Jonathan A. Kollasch
Module Name:src Committed By: jakllsch Date: Fri Aug 21 20:16:39 UTC 2020 Modified Files: src/sys/dev/usb: xhci.c xhcivar.h Log Message: xhci(4): copy HCCPARAMS regs to softc, use when needed We'll need more than just the AC64 bit for the Isoc support coming up; and we

CVS commit: src/sys/dev/usb

2020-08-18 Thread Taylor R Campbell
Module Name:src Committed By: riastradh Date: Tue Aug 18 14:32:34 UTC 2020 Modified Files: src/sys/dev/usb: ugen.c Log Message: Fix ugen detach after partial attach. While here, register null pmf handler even for partially attached devices so they don't needlessly

CVS commit: src/sys/dev/usb

2020-08-17 Thread Simon Burge
Module Name:src Committed By: simonb Date: Tue Aug 18 02:53:25 UTC 2020 Modified Files: src/sys/dev/usb: usbdevs.h usbdevs_data.h Log Message: Regen. To generate a diff of this commit: cvs rdiff -u -r1.774 -r1.775 src/sys/dev/usb/usbdevs.h \

CVS commit: src/sys/dev/usb

2020-08-17 Thread Simon Burge
Module Name:src Committed By: simonb Date: Tue Aug 18 02:53:02 UTC 2020 Modified Files: src/sys/dev/usb: usbdevs Log Message: Add Moonbase Otago OneRNG Random Number Generator. To generate a diff of this commit: cvs rdiff -u -r1.784 -r1.785 src/sys/dev/usb/usbdevs

CVS commit: src/sys/dev/usb

2020-08-16 Thread Taylor R Campbell
Module Name:src Committed By: riastradh Date: Sun Aug 16 06:17:31 UTC 2020 Modified Files: src/sys/dev/usb: ugen.c Log Message: Fix sloppy mistakes in previous. 1. Give the offset of the rbnode, not some other random members to overwrite with garbage. 2. Don't try to

CVS commit: src/sys/dev/usb

2020-08-15 Thread Taylor R Campbell
Module Name:src Committed By: riastradh Date: Sun Aug 16 02:38:06 UTC 2020 Modified Files: src/sys/dev/usb: usbdevices.config Log Message: Expose Yubikey CCID interface to userland via ugenif. To generate a diff of this commit: cvs rdiff -u -r1.40 -r1.41

CVS commit: src/sys/dev/usb

2020-08-15 Thread Taylor R Campbell
Module Name:src Committed By: riastradh Date: Sun Aug 16 02:37:19 UTC 2020 Modified Files: src/sys/dev/usb: ugen.c Log Message: Share unit numbering for ugen and ugenif. This way putting ugenif in kernel config actually works to wire it to the /dev/ugenN.MM device nodes

CVS commit: src/sys/dev/usb

2020-08-15 Thread Taylor R Campbell
Module Name:src Committed By: riastradh Date: Sun Aug 16 02:34:54 UTC 2020 Modified Files: src/sys/dev/usb: ugen.c Log Message: Convert DIAGNOSTIC prints to KASSERTs. To generate a diff of this commit: cvs rdiff -u -r1.153 -r1.154 src/sys/dev/usb/ugen.c Please note

CVS commit: src/sys/dev/usb

2020-08-15 Thread Taylor R Campbell
Module Name:src Committed By: riastradh Date: Sun Aug 16 02:34:20 UTC 2020 Modified Files: src/sys/dev/usb: ugen.c Log Message: Hold the lock over access to the data structures it covers. Still not MPSAFE, but progress. To generate a diff of this commit: cvs rdiff -u

CVS commit: src/sys/dev/usb

2020-08-15 Thread Taylor R Campbell
Module Name:src Committed By: riastradh Date: Sun Aug 16 02:33:17 UTC 2020 Modified Files: src/sys/dev/usb: ugen.c Log Message: Remove UGEN_ASLP microoptimization. cv_signal already has this microoptimization. While here, make the lock cover the relevant things we're

CVS commit: src/sys/dev/usb

2020-07-13 Thread Simon Burge
Module Name:src Committed By: simonb Date: Mon Jul 13 13:53:04 UTC 2020 Modified Files: src/sys/dev/usb: ualea.c Log Message: Set up the USB xfer for every transfer, not just once in the attach function. Caught by DIAGNOSTIC on a similar driver. Thanks to phone@ for USB

CVS commit: src/sys/dev/usb

2020-07-12 Thread matthew green
Module Name:src Committed By: mrg Date: Mon Jul 13 05:43:38 UTC 2020 Modified Files: src/sys/dev/usb: usbdi.c Log Message: for both usbd_transfer() and usbd_ar_pipe() be sure to reset the xfer ux_state to XFER_BUSY when removing from the queue. seems to fix an occasional

CVS commit: src/sys/dev/usb

2020-07-12 Thread matthew green
Module Name:src Committed By: mrg Date: Mon Jul 13 04:44:03 UTC 2020 Modified Files: src/sys/dev/usb: files.usb Log Message: mark USBNET_DEBUG as a defflag that depends on USB_DEBUG. To generate a diff of this commit: cvs rdiff -u -r1.175 -r1.176

CVS commit: src/sys/dev/usb

2020-07-09 Thread Simon Burge
Module Name:src Committed By: simonb Date: Thu Jul 9 13:43:04 UTC 2020 Modified Files: src/sys/dev/usb: uxrcom.c Log Message: Clean up some useless ugliness. NFC. To generate a diff of this commit: cvs rdiff -u -r1.1 -r1.2 src/sys/dev/usb/uxrcom.c Please note that

CVS commit: src/sys/dev/usb

2020-07-07 Thread Nick Hudson
Module Name:src Committed By: skrll Date: Tue Jul 7 10:02:17 UTC 2020 Modified Files: src/sys/dev/usb: uhci.c Log Message: KASSERT polling or holding sc_lock in a few more places. kern/52569: Entering ddb using USB keyboard panics with "locking against myself" To

CVS commit: src/sys/dev/usb

2020-07-04 Thread Ryo ONODERA
Module Name:src Committed By: ryoon Date: Sat Jul 4 08:07:02 UTC 2020 Modified Files: src/sys/dev/usb: ugensa.c Log Message: usb/ugensa: Support Linux USB 3.0 debugging port serial communication To generate a diff of this commit: cvs rdiff -u -r1.42 -r1.43

CVS commit: src/sys/dev/usb

2020-07-04 Thread Ryo ONODERA
Module Name:src Committed By: ryoon Date: Sat Jul 4 08:05:26 UTC 2020 Modified Files: src/sys/dev/usb: usbdevs Log Message: usbdevs: Add Linux USB 3.0 debugging port serial device To generate a diff of this commit: cvs rdiff -u -r1.783 -r1.784 src/sys/dev/usb/usbdevs

CVS commit: src/sys/dev/usb

2020-06-27 Thread Jaromir Dolecek
Module Name:src Committed By: jdolecek Date: Sat Jun 27 14:34:45 UTC 2020 Modified Files: src/sys/dev/usb: if_urtwn.c Log Message: mark several init-only functions as noinline to avoid bumping into the stack limit To generate a diff of this commit: cvs rdiff -u -r1.87

CVS commit: src/sys/dev/usb

2020-06-27 Thread Jared D. McNeill
Module Name:src Committed By: jmcneill Date: Sat Jun 27 13:33:26 UTC 2020 Modified Files: src/sys/dev/usb: if_mue.c if_smsc.c Log Message: prop_data_data_nocopy -> prop_data_value To generate a diff of this commit: cvs rdiff -u -r1.59 -r1.60 src/sys/dev/usb/if_mue.c cvs

CVS commit: src/sys/dev/usb

2020-06-27 Thread Maxime Villard
Module Name:src Committed By: maxv Date: Sat Jun 27 07:29:11 UTC 2020 Modified Files: src/sys/dev/usb: ulpt.c Log Message: Fix NULL deref on attach failure. Found via vHCI fuzzing. Reported-by: syzbot+9fdcdc21799e5d6d7...@syzkaller.appspotmail.com To generate a diff of

CVS commit: src/sys/dev/usb

2020-06-24 Thread Jaromir Dolecek
Module Name:src Committed By: jdolecek Date: Wed Jun 24 21:06:39 UTC 2020 Modified Files: src/sys/dev/usb: if_run.c Log Message: disable inlining for couple functions which allocate usb_device_request_t on stack to reduce total run_init() stack usage from 7KB to 2KB To

CVS commit: src/sys/dev/usb

2020-06-19 Thread Felix Deichmann
Module Name:src Committed By: flxd Date: Fri Jun 19 11:52:42 UTC 2020 Modified Files: src/sys/dev/usb: umass_quirks.c usbdevs Log Message: Add umass quirk for Alcor AU6366 multi-card reader. To generate a diff of this commit: cvs rdiff -u -r1.101 -r1.102

CVS commit: src/sys/dev/usb

2020-06-16 Thread Maxime Villard
Module Name:src Committed By: maxv Date: Tue Jun 16 17:25:56 UTC 2020 Modified Files: src/sys/dev/usb: usbdi_util.c usbdi_util.h Log Message: remove unused To generate a diff of this commit: cvs rdiff -u -r1.83 -r1.84 src/sys/dev/usb/usbdi_util.c cvs rdiff -u -r1.53

CVS commit: src/sys/dev/usb

2020-06-11 Thread Martin Husemann
Module Name:src Committed By: martin Date: Thu Jun 11 09:56:57 UTC 2020 Modified Files: src/sys/dev/usb: if_run.c Log Message: Better bounds checking for oversized packets, to avoid kernel memory corruption. Pointed out by Ilja Van Sprundel. To generate a diff of this

CVS commit: src/sys/dev/usb

2020-06-11 Thread Martin Husemann
Module Name:src Committed By: martin Date: Thu Jun 11 09:51:37 UTC 2020 Modified Files: src/sys/dev/usb: if_otus.c Log Message: Stricter bounds check for some packet length we get from the usb chip, to make sure we do not corrupt kernel memory. Pointed out by Ilja Van

CVS commit: src/sys/dev/usb

2020-06-06 Thread Andreas Gustafsson
Module Name:src Committed By: gson Date: Sat Jun 6 13:53:43 UTC 2020 Modified Files: src/sys/dev/usb: if_run.c if_runvar.h Log Message: Conditionally enable the formerly #if'ed-out code to use the WRITE_REGION_1 command for writing blocks of data to the device. This is

CVS commit: src/sys/dev/usb

2020-06-06 Thread Nick Hudson
Module Name:src Committed By: skrll Date: Sat Jun 6 08:56:31 UTC 2020 Modified Files: src/sys/dev/usb: xhci.c xhcireg.h Log Message: More __BITS. NFCI. To generate a diff of this commit: cvs rdiff -u -r1.131 -r1.132 src/sys/dev/usb/xhci.c cvs rdiff -u -r1.17 -r1.18

CVS commit: src/sys/dev/usb

2020-06-05 Thread Nick Hudson
Module Name:src Committed By: skrll Date: Fri Jun 5 08:02:32 UTC 2020 Modified Files: src/sys/dev/usb: u3g.c Log Message: Replace calls to usbd_device2interface_handle in u3g_match() and u3g_attach() with the interface already passed in uiaa->uiaa_iface and store the

CVS commit: src/sys/dev/usb

2020-06-05 Thread Nick Hudson
Module Name:src Committed By: skrll Date: Fri Jun 5 08:01:49 UTC 2020 Modified Files: src/sys/dev/usb: ugensa.c Log Message: Remove duplicated USB_VENDOR_SIERRA USB_PRODUCT_SIERRA_USB305 entry PR kern/55329 To generate a diff of this commit: cvs rdiff -u -r1.41 -r1.42

CVS commit: src/sys/dev/usb

2020-06-04 Thread Nick Hudson
Module Name:src Committed By: skrll Date: Thu Jun 4 20:54:37 UTC 2020 Modified Files: src/sys/dev/usb: xhci.c xhcireg.h Log Message: Near complete conversion to __BITS To generate a diff of this commit: cvs rdiff -u -r1.130 -r1.131 src/sys/dev/usb/xhci.c cvs rdiff -u

CVS commit: src/sys/dev/usb

2020-06-04 Thread Nick Hudson
Module Name:src Committed By: skrll Date: Thu Jun 4 19:50:21 UTC 2020 Modified Files: src/sys/dev/usb: xhcireg.h Log Message: Consistent whitespace. Register defines are indented. Bits defines for registers are indented. To generate a diff of this commit: cvs rdiff

CVS commit: src/sys/dev/usb

2020-06-03 Thread Nick Hudson
Module Name:src Committed By: skrll Date: Wed Jun 3 15:38:02 UTC 2020 Modified Files: src/sys/dev/usb: ohci.c ohcireg.h Log Message: Convert ohcireg.h to __BITS. NFCI. To generate a diff of this commit: cvs rdiff -u -r1.309 -r1.310 src/sys/dev/usb/ohci.c cvs rdiff -u

CVS commit: src/sys/dev/usb

2020-06-01 Thread Nick Hudson
Module Name:src Committed By: skrll Date: Mon Jun 1 10:25:01 UTC 2020 Modified Files: src/sys/dev/usb: xhci.c xhcireg.h Log Message: Remove some unnecessary bit shifts by using appropriate read size To generate a diff of this commit: cvs rdiff -u -r1.129 -r1.130

CVS commit: src/sys/dev/usb

2020-05-31 Thread Maxime Villard
Module Name:src Committed By: maxv Date: Sun May 31 18:33:09 UTC 2020 Modified Files: src/sys/dev/usb: usb_subr.c Log Message: Reset ud_ifaces and ud_cdesc to NULL, to prevent use-after-free in usb_free_device(). Reported-by:

CVS commit: src/sys/dev/usb

2020-05-31 Thread Jaromir Dolecek
Module Name:src Committed By: jdolecek Date: Sun May 31 18:20:24 UTC 2020 Modified Files: src/sys/dev/usb: usb_subr.c Log Message: also set ifc->ui_endpoints to NULL in usbd_free_iface_data() when the value is freed, to make it impossible to re-enter this by mistake very

CVS commit: src/sys/dev/usb

2020-05-31 Thread Maxime Villard
Module Name:src Committed By: maxv Date: Sun May 31 17:52:59 UTC 2020 Modified Files: src/sys/dev/usb: usb_subr.c Log Message: If we failed because we didn't encounter an endpoint, do not attempt to read 'ed', because its value is past the end of the buffer, and we thus

CVS commit: src/sys/dev/usb

2020-05-31 Thread Jaromir Dolecek
Module Name:src Committed By: jdolecek Date: Sun May 31 11:12:36 UTC 2020 Modified Files: src/sys/dev/usb: if_bwfm_usb.c Log Message: make bwfm_usb_bus_ops static and const To generate a diff of this commit: cvs rdiff -u -r1.12 -r1.13 src/sys/dev/usb/if_bwfm_usb.c

CVS commit: src/sys/dev/usb

2020-05-31 Thread Maxime Villard
Module Name:src Committed By: maxv Date: Sun May 31 08:05:31 UTC 2020 Modified Files: src/sys/dev/usb: uhub.c Log Message: sc_statuspend is allocated with kmem_zalloc, so no need to memset it. To generate a diff of this commit: cvs rdiff -u -r1.145 -r1.146

CVS commit: src/sys/dev/usb

2020-05-31 Thread Maxime Villard
Module Name:src Committed By: maxv Date: Sun May 31 07:53:38 UTC 2020 Modified Files: src/sys/dev/usb: vhci.c Log Message: Add comments. To generate a diff of this commit: cvs rdiff -u -r1.18 -r1.19 src/sys/dev/usb/vhci.c Please note that diffs are not public domain;

CVS commit: src/sys/dev/usb

2020-05-30 Thread Jaromir Dolecek
Module Name:src Committed By: jdolecek Date: Sat May 30 19:23:25 UTC 2020 Modified Files: src/sys/dev/usb: if_bwfm_usb.c Log Message: catch up with changes - need bwfmreg.h before bwfmvar.h To generate a diff of this commit: cvs rdiff -u -r1.11 -r1.12

CVS commit: src/sys/dev/usb

2020-05-30 Thread Jonathan A. Kollasch
Module Name:src Committed By: jakllsch Date: Sat May 30 17:19:45 UTC 2020 Modified Files: src/sys/dev/usb: aubtfwl.c Log Message: aubtfwl(4): Use kmem_asprintf() for firmware file name creation. A MAXPATHLEN+1 array of char on stack is "too much". To generate a diff of

CVS commit: src/sys/dev/usb

2020-05-29 Thread Nick Hudson
Module Name:src Committed By: skrll Date: Fri May 29 06:53:40 UTC 2020 Modified Files: src/sys/dev/usb: xhcireg.h Log Message: Use __BITS consistently and inline with specification. NFCI. To generate a diff of this commit: cvs rdiff -u -r1.13 -r1.14

CVS commit: src/sys/dev/usb

2020-05-27 Thread Nick Hudson
Module Name:src Committed By: skrll Date: Wed May 27 07:17:45 UTC 2020 Modified Files: src/sys/dev/usb: usb.c Log Message: Don't allow open of /dev/usb if there are no attached busses. PR kern/55303 mutex_vector_enter,512: uninitialized lock To generate a diff of this

CVS commit: src/sys/dev/usb

2020-05-26 Thread Nick Hudson
Module Name:src Committed By: skrll Date: Tue May 26 19:55:43 UTC 2020 Modified Files: src/sys/dev/usb: usb.c Log Message: s/0/NULL/ for pointer (usb_async_proc) To generate a diff of this commit: cvs rdiff -u -r1.185 -r1.186 src/sys/dev/usb/usb.c Please note that

CVS commit: src/sys/dev/usb

2020-05-26 Thread Nick Hudson
Module Name:src Committed By: skrll Date: Tue May 26 19:51:15 UTC 2020 Modified Files: src/sys/dev/usb: usb.c Log Message: Misc whitespace To generate a diff of this commit: cvs rdiff -u -r1.184 -r1.185 src/sys/dev/usb/usb.c Please note that diffs are not public

CVS commit: src/sys/dev/usb

2020-05-26 Thread Nick Hudson
Module Name:src Committed By: skrll Date: Tue May 26 07:03:23 UTC 2020 Modified Files: src/sys/dev/usb: ohci.c uhci.c Log Message: Copyright maintenance To generate a diff of this commit: cvs rdiff -u -r1.308 -r1.309 src/sys/dev/usb/ohci.c cvs rdiff -u -r1.302 -r1.303

CVS commit: src/sys/dev/usb

2020-05-25 Thread Nick Hudson
Module Name:src Committed By: skrll Date: Mon May 25 13:55:31 UTC 2020 Modified Files: src/sys/dev/usb: uhci.c Log Message: Be more careful with transfer descriptors and crossing page boundaries Enable USBMALLOC_MULTISEG To generate a diff of this commit: cvs rdiff -u

CVS commit: src/sys/dev/usb

2020-05-24 Thread Jonathan A. Kollasch
Module Name:src Committed By: jakllsch Date: Sun May 24 17:28:20 UTC 2020 Modified Files: src/sys/dev/usb: uvideo.c Log Message: uvideo(4): clamp (micro)frames-per-xfer to at most 80 Previously, on a 30fps YUV422 640x480 webcam, we were putting over 250 USB (micro)frames

CVS commit: src/sys/dev/usb

2020-05-24 Thread Nick Hudson
Module Name:src Committed By: skrll Date: Sun May 24 07:42:51 UTC 2020 Modified Files: src/sys/dev/usb: ohci.c Log Message: Be more careful with OHCI_PAGE limitations in ohci_reset_std_chain and ohci_device_isoc_enter Enable USBMALLOC_MULTISEG To generate a diff of

CVS commit: src/sys/dev/usb

2020-05-22 Thread Jared D. McNeill
Module Name:src Committed By: jmcneill Date: Fri May 22 11:25:06 UTC 2020 Modified Files: src/sys/dev/usb: uvideo.c Log Message: Support get_framerate and provide a stub for set_framerate To generate a diff of this commit: cvs rdiff -u -r1.56 -r1.57

CVS commit: src/sys/dev/usb

2020-05-22 Thread Jared D. McNeill
Module Name:src Committed By: jmcneill Date: Fri May 22 11:24:31 UTC 2020 Modified Files: src/sys/dev/usb: pseye.c Log Message: Support set/get_framerate To generate a diff of this commit: cvs rdiff -u -r1.27 -r1.28 src/sys/dev/usb/pseye.c Please note that diffs are

CVS commit: src/sys/dev/usb

2020-05-21 Thread Jonathan A. Kollasch
Module Name:src Committed By: jakllsch Date: Thu May 21 15:28:35 UTC 2020 Modified Files: src/sys/dev/usb: xhci.c Log Message: xhci(4): only usbd_xfer_trycomplete() if certain to usb_transfer_complete() Previously we'd cancel the timeout after the data stage of control

CVS commit: src/sys/dev/usb

2020-05-21 Thread Jonathan A. Kollasch
Module Name:src Committed By: jakllsch Date: Thu May 21 13:47:11 UTC 2020 Modified Files: src/sys/dev/usb: xhci.c xhcivar.h Log Message: xhci(4): dynamically allocate xhci_xfer->xx_trb buffer Allocation size remains the same for now. To generate a diff of this commit:

CVS commit: src/sys/dev/usb

2020-05-21 Thread Jonathan A. Kollasch
Module Name:src Committed By: jakllsch Date: Thu May 21 13:23:38 UTC 2020 Modified Files: src/sys/dev/usb: xhci.c Log Message: xhci(4): Check for overflows with KASSERT when putting TRBs on xfer TRB buffer. Also more-correctly check for overruns when putting TRBs on a

CVS commit: src/sys/dev/usb

2020-05-21 Thread Jonathan A. Kollasch
Module Name:src Committed By: jakllsch Date: Thu May 21 12:46:45 UTC 2020 Modified Files: src/sys/dev/usb: xhci.c Log Message: xhci(4): Be more concise in putting the setup request in TRB immediate data. Or: memcpy(),htole64() is replaced by functionally-identical

CVS commit: src/sys/dev/usb

2020-05-20 Thread Maxime Villard
Module Name:src Committed By: maxv Date: Thu May 21 05:58:00 UTC 2020 Modified Files: src/sys/dev/usb: vhci.c Log Message: Increase the number of ports to 8. To generate a diff of this commit: cvs rdiff -u -r1.17 -r1.18 src/sys/dev/usb/vhci.c Please note that diffs are

CVS commit: src/sys/dev/usb

2020-05-20 Thread Jonathan A. Kollasch
Module Name:src Committed By: jakllsch Date: Wed May 20 17:32:28 UTC 2020 Modified Files: src/sys/dev/usb: xhci.c Log Message: Use more-semantically-correct types in xhci allocx/freex functions. To generate a diff of this commit: cvs rdiff -u -r1.124 -r1.125

CVS commit: src/sys/dev/usb

2020-05-19 Thread Jonathan A. Kollasch
Module Name:src Committed By: jakllsch Date: Tue May 19 19:09:43 UTC 2020 Modified Files: src/sys/dev/usb: ohci.c Log Message: ohci(4): always use usb_syncmem() when reading from HCCA To generate a diff of this commit: cvs rdiff -u -r1.306 -r1.307 src/sys/dev/usb/ohci.c

CVS commit: src/sys/dev/usb

2020-05-19 Thread Jonathan A. Kollasch
Module Name:src Committed By: jakllsch Date: Tue May 19 18:57:26 UTC 2020 Modified Files: src/sys/dev/usb: ohci.c Log Message: ohci_device_isoc_done(): use ux_length rather than ux_bufsize For consistency. Nick may have missed this in previous because I'd unexpectedly

CVS commit: src/sys/dev/usb

2020-05-19 Thread Jonathan A. Kollasch
Module Name:src Committed By: jakllsch Date: Tue May 19 18:32:35 UTC 2020 Modified Files: src/sys/dev/usb: usbdi.c Log Message: Use usbd_destroy_xfer() in usbd_request_async() instead of usbd_free_xfer(). The only user of usbd_request_async() & co. does a

CVS commit: src/sys/dev/usb

2020-05-19 Thread Jonathan A. Kollasch
Module Name:src Committed By: jakllsch Date: Tue May 19 18:18:33 UTC 2020 Modified Files: src/sys/dev/usb: xhcivar.h Log Message: Remove definition of unused struct xhci_endpoint. To generate a diff of this commit: cvs rdiff -u -r1.13 -r1.14 src/sys/dev/usb/xhcivar.h

CVS commit: src/sys/dev/usb

2020-05-17 Thread Nick Hudson
Module Name:src Committed By: skrll Date: Sun May 17 08:38:38 UTC 2020 Modified Files: src/sys/dev/usb: ehci.c Log Message: Copyright maintenance To generate a diff of this commit: cvs rdiff -u -r1.280 -r1.281 src/sys/dev/usb/ehci.c Please note that diffs are not

CVS commit: src/sys/dev/usb

2020-05-17 Thread Nick Hudson
Module Name:src Committed By: skrll Date: Sun May 17 08:35:15 UTC 2020 Modified Files: src/sys/dev/usb: ehci.c Log Message: Use BUS_ADDR_{LO,HI}32. NFCI. To generate a diff of this commit: cvs rdiff -u -r1.279 -r1.280 src/sys/dev/usb/ehci.c Please note that diffs are

CVS commit: src/sys/dev/usb

2020-05-15 Thread Maxime Villard
Module Name:src Committed By: maxv Date: Fri May 15 07:51:49 UTC 2020 Modified Files: src/sys/dev/usb: usbdi_util.c Log Message: It should be allowed to have exactly a usb_descriptor_t. To generate a diff of this commit: cvs rdiff -u -r1.82 -r1.83

CVS commit: src/sys/dev/usb

2020-05-15 Thread Nick Hudson
Module Name:src Committed By: skrll Date: Fri May 15 06:26:44 UTC 2020 Modified Files: src/sys/dev/usb: usb_mem.c Log Message: Use howmany. NFC. To generate a diff of this commit: cvs rdiff -u -r1.76 -r1.77 src/sys/dev/usb/usb_mem.c Please note that diffs are not

CVS commit: src/sys/dev/usb

2020-05-15 Thread Nick Hudson
Module Name:src Committed By: skrll Date: Fri May 15 06:23:54 UTC 2020 Modified Files: src/sys/dev/usb: ohci.c Log Message: Use ux_length in isoc usb_syncmem. Missed in previous commit. To generate a diff of this commit: cvs rdiff -u -r1.304 -r1.305

CVS commit: src/sys/dev/usb

2020-05-13 Thread Jonathan A. Kollasch
Module Name:src Committed By: jakllsch Date: Wed May 13 19:33:48 UTC 2020 Modified Files: src/sys/dev/usb: ohci.c Log Message: Use ux_bufsize rather than ux_length in isoc path usb_syncmem calls. ux_length is always 0 for isoc pipes, so it could not be used here. To do:

CVS commit: src/sys/dev/usb

2020-05-13 Thread Jonathan A. Kollasch
Module Name:src Committed By: jakllsch Date: Wed May 13 18:44:51 UTC 2020 Modified Files: src/sys/dev/usb: ohci.c Log Message: ohci_alloc_sed() returns a pointer, so use NULL rather than 0 on bail-out To generate a diff of this commit: cvs rdiff -u -r1.301 -r1.302

CVS commit: src/sys/dev/usb

2020-05-13 Thread Martin Husemann
Module Name:src Committed By: martin Date: Wed May 13 10:34:05 UTC 2020 Modified Files: src/sys/dev/usb: usbdevices.config Log Message: Enable ualea(4) by default To generate a diff of this commit: cvs rdiff -u -r1.38 -r1.39 src/sys/dev/usb/usbdevices.config Please

CVS commit: src/sys/dev/usb

2020-05-08 Thread Nick Hudson
Module Name:src Committed By: skrll Date: Fri May 8 06:24:29 UTC 2020 Modified Files: src/sys/dev/usb: if_cdce.c Log Message: Search the descriptors of the appropriate interface to ensure correct match. PR kern/55240 cdce(4) error "no data interface" when ECM USB IF are

CVS commit: src/sys/dev/usb

2020-05-01 Thread matthew green
Module Name:src Committed By: mrg Date: Sat May 2 00:50:07 UTC 2020 Modified Files: src/sys/dev/usb: if_urtwn.c Log Message: don't forget to destroy the new sc_media_mtx mutex. now re-plugging and re-using the same softc doesn't give mutex already initialised error.

CVS commit: src/sys/dev/usb

2020-04-29 Thread Taylor R Campbell
Module Name:src Committed By: riastradh Date: Thu Apr 30 03:24:28 UTC 2020 Modified Files: src/sys/dev/usb: ualea.c Log Message: Turn XXX comment into KASSERT. To generate a diff of this commit: cvs rdiff -u -r1.9 -r1.10 src/sys/dev/usb/ualea.c Please note that diffs

CVS commit: src/sys/dev/usb

2020-04-29 Thread Nia Alarie
Module Name:src Committed By: nia Date: Wed Apr 29 08:06:03 UTC 2020 Modified Files: src/sys/dev/usb: usbdevs.h usbdevs_data.h Log Message: usbdevs: regen To generate a diff of this commit: cvs rdiff -u -r1.771 -r1.772 src/sys/dev/usb/usbdevs.h \

CVS commit: src/sys/dev/usb

2020-04-29 Thread Nia Alarie
Module Name:src Committed By: nia Date: Wed Apr 29 08:05:10 UTC 2020 Modified Files: src/sys/dev/usb: usbdevs Log Message: usbdevs: more Intel Integrated Rate Matching Hub IDs To generate a diff of this commit: cvs rdiff -u -r1.781 -r1.782 src/sys/dev/usb/usbdevs

CVS commit: src/sys/dev/usb

2020-04-13 Thread Jaromir Dolecek
Module Name:src Committed By: jdolecek Date: Mon Apr 13 09:26:43 UTC 2020 Modified Files: src/sys/dev/usb: FILES files.usb umass.c umass_quirks.c Removed Files: src/sys/dev/usb: umass_isdata.c umass_isdata.h Log Message: remove obsolete support for ISD-ATA

CVS commit: src/sys/dev/usb

2020-04-12 Thread Jaromir Dolecek
Module Name:src Committed By: jdolecek Date: Sun Apr 12 07:41:11 UTC 2020 Modified Files: src/sys/dev/usb: ums.c Log Message: further tweaks for USB_PRODUCT_MICROSOFT_24GHZ_XCVR80 from PR kern/55161 remove case for USB_PRODUCT_MICROSOFT_24GHZ_XCVR70, likely needs similar

CVS commit: src/sys/dev/usb

2020-04-11 Thread Jaromir Dolecek
Module Name:src Committed By: jdolecek Date: Sat Apr 11 06:57:32 UTC 2020 Modified Files: src/sys/dev/usb: ums.c Log Message: enable the workaround for Microsoft transceiver v8.0 too - PR kern/55161 while here enable also for v7.0, it's likely to have same problem To

CVS commit: src/sys/dev/usb

2020-04-11 Thread Jaromir Dolecek
Module Name:src Committed By: jdolecek Date: Sat Apr 11 06:54:59 UTC 2020 Modified Files: src/sys/dev/usb: usbdevs Log Message: add Microsoft 2.4GHz Transceiver V8.0 - PR kern/55161 add also V7.0, found the ID To generate a diff of this commit: cvs rdiff -u -r1.779

CVS commit: src/sys/dev/usb

2020-04-04 Thread Michael van Elst
Module Name:src Committed By: mlelstv Date: Sat Apr 4 13:18:11 UTC 2020 Modified Files: src/sys/dev/usb: usbdevs Log Message: Add Edimax AC600 ids. To generate a diff of this commit: cvs rdiff -u -r1.778 -r1.779 src/sys/dev/usb/usbdevs Please note that diffs are not

CVS commit: src/sys/dev/usb

2020-04-04 Thread Nick Hudson
Module Name:src Committed By: skrll Date: Sat Apr 4 08:46:01 UTC 2020 Modified Files: src/sys/dev/usb: if_urtwn.c Log Message: Add D-Link DWA-121 rev B1 to list of supported devices >From Miguel Landaeta in kern/55140 To generate a diff of this commit: cvs rdiff -u

CVS commit: src/sys/dev/usb

2020-04-04 Thread Nick Hudson
Module Name:src Committed By: skrll Date: Sat Apr 4 08:43:23 UTC 2020 Modified Files: src/sys/dev/usb: usbdevs Log Message: Add D-Link DWA-121 rev B1 >From Miguel Landaeta in kern/55140 To generate a diff of this commit: cvs rdiff -u -r1.777 -r1.778

CVS commit: src/sys/dev/usb

2020-04-03 Thread Nick Hudson
Module Name:src Committed By: skrll Date: Fri Apr 3 06:05:00 UTC 2020 Modified Files: src/sys/dev/usb: usbdi.c Log Message: Avoid crashes if we fail to allocate a transfer buffer and avoid an unnecessary usbd_free_buffer before usbd_free_xfer Worked out by Riastradh

CVS commit: src/sys/dev/usb

2020-04-02 Thread Nick Hudson
Module Name:src Committed By: skrll Date: Thu Apr 2 11:52:41 UTC 2020 Modified Files: src/sys/dev/usb: xhcivar.h Log Message: Tweak a comment in previous To generate a diff of this commit: cvs rdiff -u -r1.12 -r1.13 src/sys/dev/usb/xhcivar.h Please note that diffs are

CVS commit: src/sys/dev/usb

2020-04-02 Thread Nick Hudson
Module Name:src Committed By: skrll Date: Thu Apr 2 11:37:23 UTC 2020 Modified Files: src/sys/dev/usb: xhci.c xhcivar.h Log Message: Reduce the memory footprint by allocating a ring per endpoint/pipe on pipe open. >From sc.dying on tech-kern To generate a diff of this

CVS commit: src/sys/dev/usb

2020-04-01 Thread Tohru Nishimura
Module Name:src Committed By: nisimura Date: Thu Apr 2 04:09:36 UTC 2020 Modified Files: src/sys/dev/usb: if_mos.c if_url.c Log Message: iron out multicast filter logic and pick better name for its work To generate a diff of this commit: cvs rdiff -u -r1.6 -r1.7

<    1   2   3   4   5   6   7   8   9   10   >