CVS commit: src/tests/usr.bin/indent

2021-03-13 Thread Roland Illig
Module Name:src Committed By: rillig Date: Sun Mar 14 00:50:39 UTC 2021 Modified Files: src/tests/usr.bin/indent: token-comment.0 token-comment.0.stdout Log Message: tests/indent: demonstrate off-by-one error in comment processing To generate a diff of this commit: cvs

CVS commit: src/tests/usr.bin/indent

2021-03-13 Thread Roland Illig
Module Name:src Committed By: rillig Date: Sat Mar 13 13:04:13 UTC 2021 Modified Files: src/tests/usr.bin/indent: token-preprocessing.0 token-preprocessing.0.stdout Log Message: tests/indent: add another test case for preprocessing directives In

CVS commit: src/tests/usr.bin/indent

2021-03-12 Thread Roland Illig
Module Name:src Committed By: rillig Date: Fri Mar 12 22:53:18 UTC 2021 Modified Files: src/tests/usr.bin/indent: token-preprocessing.0 token-preprocessing.0.stdout Log Message: tests/indent: add test for preprocessor lines To generate a diff of this commit:

CVS commit: src/tests/usr.bin/indent

2021-03-12 Thread Roland Illig
Module Name:src Committed By: rillig Date: Fri Mar 12 18:28:08 UTC 2021 Modified Files: src/tests/usr.bin/indent: comment-line-end.0 comment-line-end.0.stdout Log Message: tests/indent: demonstrate stray empty line at end-of-file To generate a diff of this commit: cvs

CVS commit: src/tests/usr.bin/indent

2021-03-12 Thread Roland Illig
Module Name:src Committed By: rillig Date: Fri Mar 12 17:41:11 UTC 2021 Modified Files: src/tests/usr.bin/indent: token-binary_op.0 token-binary_op.0.pro token-binary_op.0.stdout Log Message: tests/indent: test tokenization of punctuation, mainly operators

CVS commit: src/tests/usr.bin/indent

2021-03-08 Thread Roland Illig
Module Name:src Committed By: rillig Date: Mon Mar 8 20:01:16 UTC 2021 Modified Files: src/tests/usr.bin/indent: t_indent.sh Log Message: tests/indent: allow golden stderr file, improve filenames, clean up code When indent runs in filter mode, it may output messages to

CVS commit: src/tests/usr.bin/indent

2021-03-07 Thread Roland Illig
Module Name:src Committed By: rillig Date: Sun Mar 7 10:12:19 UTC 2021 Modified Files: src/tests/usr.bin/indent: opt-P.0 opt-P.0.stdout opt-T.0 opt-T.0.stdout opt-U.0 opt-U.0.stdout opt-lpl.0 opt-lpl.0.stdout opt-nlpl.0 opt-nlpl.0.stdout opt-npcs.0

CVS commit: src/tests/usr.bin/indent

2021-03-07 Thread Roland Illig
Module Name:src Committed By: rillig Date: Sun Mar 7 08:57:38 UTC 2021 Modified Files: src/tests/usr.bin/indent: comment-line-end.0 comment-line-end.0.stdout t_indent.sh Log Message: tests/indent: allow for comments in either the input or output files To

CVS commit: src/tests/usr.bin/indent

2021-03-06 Thread Roland Illig
Module Name:src Committed By: rillig Date: Sat Mar 6 23:09:17 UTC 2021 Modified Files: src/tests/usr.bin/indent: opt-nbacc.0 opt-nbacc.0.stdout opt-nbad.0 opt-nbad.0.stdout opt-nbadp.0 opt-nbadp.0.stdout opt-nbap.0 opt-nbap.0.stdout opt-nbbb.0

CVS commit: src/tests/usr.bin/indent

2021-03-06 Thread Roland Illig
Module Name:src Committed By: rillig Date: Sat Mar 6 22:10:40 UTC 2021 Modified Files: src/tests/usr.bin/indent: opt-fcb.0 opt-fcb.0.stdout opt-i.0 opt-i.0.stdout opt-ip.0 opt-ip.0.stdout opt-l.0 opt-l.0.stdout opt-lc.0 opt-lc.0.stdout opt-ldi.0

CVS commit: src/tests/usr.bin/indent

2021-03-06 Thread Roland Illig
Module Name:src Committed By: rillig Date: Sat Mar 6 21:27:39 UTC 2021 Modified Files: src/tests/usr.bin/indent: opt-cd.0 opt-cd.0.stdout opt-cdb.0 opt-cdb.0.stdout opt-ce.0 opt-ce.0.stdout opt-ci.0 opt-ci.0.stdout opt-cli.0 opt-cli.0.stdout

CVS commit: src/tests/usr.bin/indent

2021-03-06 Thread Roland Illig
Module Name:src Committed By: rillig Date: Sat Mar 6 19:51:25 UTC 2021 Modified Files: src/tests/usr.bin/indent: declarations.0 opt-c.0 parens.0 Log Message: tests/indent: fix unintended trailing whitespace and space-tab To generate a diff of this commit: cvs rdiff -u

CVS commit: src/tests/usr.bin/indent

2021-03-06 Thread Roland Illig
Module Name:src Committed By: rillig Date: Sat Mar 6 19:30:44 UTC 2021 Modified Files: src/tests/usr.bin/indent: opt--version.0 opt-bacc.0 opt-bacc.0.stdout opt-bad.0 opt-bad.0.stdout opt-badp.0 opt-badp.0.stdout opt-bap.0 opt-bap.0.stdout

CVS commit: src/tests/usr.bin/indent

2021-03-06 Thread Roland Illig
Module Name:src Committed By: rillig Date: Sat Mar 6 15:02:38 UTC 2021 Modified Files: src/tests/usr.bin/indent: comment-line-end.0 comment-line-end.0.stdout Log Message: tests/indent: extend test for '//' comments with more examples To generate a diff of this commit: