CVS commit: src/sys/uvm

2021-07-01 Thread Chuck Silvers
Module Name:src Committed By: chs Date: Thu Jul 1 15:06:01 UTC 2021 Modified Files: src/sys/uvm: uvm_map.c Log Message: in uvm_mapent_forkzero(), if the old entry was an object mapping, appease a debug check by setting the new entry offset to zero along with setting the n

CVS commit: src/sys/uvm

2021-06-20 Thread matthew green
Module Name:src Committed By: mrg Date: Sun Jun 20 07:11:39 UTC 2021 Modified Files: src/sys/uvm: uvm_map.c Log Message: remove diag-only printf() that fires when an unlinked file is mmapped and someone runs ps(1) or similar. To generate a diff of this commit: cvs rdiff

CVS commit: src/sys/uvm

2021-05-31 Thread Taylor R Campbell
Module Name:src Committed By: riastradh Date: Mon May 31 10:57:02 UTC 2021 Modified Files: src/sys/uvm: uvm_extern.h Log Message: uvm: Make uvm_extern.h (more) self-contained, needs sys/types.h. To generate a diff of this commit: cvs rdiff -u -r1.231 -r1.232 src/sys/uvm/

CVS commit: src/sys/uvm

2021-05-22 Thread matthew green
Module Name:src Committed By: mrg Date: Sun May 23 00:36:37 UTC 2021 Modified Files: src/sys/uvm: uvm_swap.c Log Message: avoid taking locks that aren't initialised. fixes panic when typing 'reboot' at the askroot prompt. To generate a diff of this commit: cvs rdiff -u

CVS commit: src/sys/uvm/pmap

2021-05-04 Thread Nick Hudson
Module Name:src Committed By: skrll Date: Tue May 4 09:05:34 UTC 2021 Modified Files: src/sys/uvm/pmap: pmap_tlb.c Log Message: Always expose pmap_tlb_update_addr now that all current PMAP_HWPAGEWALKERs (arm) users provide the required functions. To generate a diff of t

CVS commit: src/sys/uvm/pmap

2021-05-01 Thread Nick Hudson
Module Name:src Committed By: skrll Date: Sat May 1 14:00:57 UTC 2021 Modified Files: src/sys/uvm/pmap: pmap_tlb.c Log Message: Revert previous To generate a diff of this commit: cvs rdiff -u -r1.42 -r1.43 src/sys/uvm/pmap/pmap_tlb.c Please note that diffs are not publ

CVS commit: src/sys/uvm/pmap

2021-04-30 Thread Nick Hudson
Module Name:src Committed By: skrll Date: Sat May 1 06:56:41 UTC 2021 Modified Files: src/sys/uvm/pmap: pmap_tlb.c Log Message: Expose pmap_tlb_update_addr to the PMAP_HWPAGEWALKER platforms To generate a diff of this commit: cvs rdiff -u -r1.41 -r1.42 src/sys/uvm/pmap/

CVS commit: src/sys/uvm

2021-04-17 Thread matthew green
Module Name:src Committed By: mrg Date: Sat Apr 17 21:37:21 UTC 2021 Modified Files: src/sys/uvm: uvm_map.c uvm_pdaemon.c Log Message: fix error in previous: UVMHIST_PDHIST_SIZE needs to stay next to pdhistbuf[]. To generate a diff of this commit: cvs rdiff -u -r1.387 -r

CVS commit: src/sys/uvm

2021-03-31 Thread Simon Burge
Module Name:src Committed By: simonb Date: Thu Apr 1 06:26:26 UTC 2021 Modified Files: src/sys/uvm: uvm_bio.c Log Message: Add a sysctl hashstat collector for ubchash. To generate a diff of this commit: cvs rdiff -u -r1.125 -r1.126 src/sys/uvm/uvm_bio.c Please note tha

CVS commit: src/sys/uvm

2021-03-26 Thread Chuck Silvers
Module Name:src Committed By: chs Date: Fri Mar 26 09:35:18 UTC 2021 Modified Files: src/sys/uvm: uvm_pglist.c Log Message: in uvm_pglistalloc_contig_aggressive(), avoid looking forward past the end of the target range of the physseg. fixes PR 56074. To generate a diff o

CVS commit: src/sys/uvm

2021-03-23 Thread Nick Hudson
Module Name:src Committed By: skrll Date: Wed Mar 24 06:37:27 UTC 2021 Modified Files: src/sys/uvm: uvm_pglist.c Log Message: Trailing whitespace To generate a diff of this commit: cvs rdiff -u -r1.86 -r1.87 src/sys/uvm/uvm_pglist.c Please note that diffs are not public

CVS commit: src/sys/uvm

2021-03-13 Thread Nick Hudson
Module Name:src Committed By: skrll Date: Sat Mar 13 15:29:56 UTC 2021 Modified Files: src/sys/uvm: uvm_amap.c uvm_aobj.c uvm_bio.c uvm_device.c uvm_km.c uvm_map.c uvm_swap.c uvm_vnode.c src/sys/uvm/pmap: pmap_segtab.c Log Message: Consistently use %#jx

CVS commit: src/sys/uvm/pmap

2021-03-13 Thread Nick Hudson
Module Name:src Committed By: skrll Date: Sat Mar 13 15:29:13 UTC 2021 Modified Files: src/sys/uvm/pmap: pmap.c Log Message: Don't use %jx for 0 or 1 - just use %jd in UVMHIST_LOG format. To generate a diff of this commit: cvs rdiff -u -r1.58 -r1.59 src/sys/uvm/pmap/pmap

CVS commit: src/sys/uvm

2021-03-07 Thread Nick Hudson
Module Name:src Committed By: skrll Date: Sun Mar 7 08:16:58 UTC 2021 Modified Files: src/sys/uvm: uvm_pmap.h Log Message: consistency To generate a diff of this commit: cvs rdiff -u -r1.40 -r1.41 src/sys/uvm/uvm_pmap.h Please note that diffs are not public domain; th

CVS commit: src/sys/uvm

2021-02-19 Thread Juergen Hannken-Illjes
Module Name:src Committed By: hannken Date: Fri Feb 19 13:20:44 UTC 2021 Modified Files: src/sys/uvm: uvm_swap.c Log Message: When turning off swap during reboot we have to lock with LK_RETRY as regular files got reclaimed during unmount. Adresses PR kern/54969 (Disk cach

CVS commit: src/sys/uvm/pmap

2020-12-21 Thread Nick Hudson
Module Name:src Committed By: skrll Date: Mon Dec 21 08:41:38 UTC 2020 Modified Files: src/sys/uvm/pmap: pmap.h Log Message: Remove variable in function declaration argument To generate a diff of this commit: cvs rdiff -u -r1.18 -r1.19 src/sys/uvm/pmap/pmap.h Please not

CVS commit: src/sys/uvm

2020-12-20 Thread Nick Hudson
Module Name:src Committed By: skrll Date: Sun Dec 20 15:50:44 UTC 2020 Modified Files: src/sys/uvm: uvm_page.h Log Message: Remove VM_MD_TO_PAGE that was accidentally committed in 1.106. It's going to be readded with the code that uses it To generate a diff of this comm

CVS commit: src/sys/uvm

2020-12-20 Thread Nick Hudson
Module Name:src Committed By: skrll Date: Sun Dec 20 11:11:34 UTC 2020 Modified Files: src/sys/uvm: uvm_page.c Log Message: Some KNF. NFC. To generate a diff of this commit: cvs rdiff -u -r1.249 -r1.250 src/sys/uvm/uvm_page.c Please note that diffs are not public domai

CVS commit: src/sys/uvm

2020-11-27 Thread Yorick Hardy
Module Name:src Committed By: yhardy Date: Fri Nov 27 22:32:43 UTC 2020 Modified Files: src/sys/uvm: uvm_mremap.c Log Message: uvm_mremap: reference the appropriate backing object. The previous approach was appropriate for anonymous memory and device objects, which contin

CVS commit: src/sys/uvm

2020-11-09 Thread Chuck Silvers
Module Name:src Committed By: chs Date: Tue Nov 10 04:27:22 UTC 2020 Modified Files: src/sys/uvm: uvm_bio.c Log Message: remove someone's leftover debug printfs. To generate a diff of this commit: cvs rdiff -u -r1.123 -r1.124 src/sys/uvm/uvm_bio.c Please note that diffs

CVS commit: src/sys/uvm

2020-10-24 Thread Chuck Silvers
Module Name:src Committed By: chs Date: Sun Oct 25 00:05:26 UTC 2020 Modified Files: src/sys/uvm: uvm_anon.c Log Message: Handle PG_PAGEOUT in uvm_anon_release() too. To generate a diff of this commit: cvs rdiff -u -r1.79 -r1.80 src/sys/uvm/uvm_anon.c Please note that d

CVS commit: src/sys/uvm

2020-10-18 Thread Chuck Silvers
Module Name:src Committed By: chs Date: Sun Oct 18 18:31:31 UTC 2020 Modified Files: src/sys/uvm: uvm_page.c uvm_pgflcache.c Log Message: In the current code, CPU_COUNT_FREEPAGES counts pages in the global freelists AND the per-CPU pgflcache free pages caches, and that is

CVS commit: src/sys/uvm

2020-10-18 Thread Rin Okuyama
Module Name:src Committed By: rin Date: Sun Oct 18 08:52:15 UTC 2020 Modified Files: src/sys/uvm: uvm_bio.c Log Message: PR kern/55658 Revert rev 1.122: http://cvsweb.netbsd.org/bsdweb.cgi/src/sys/uvm/uvm_bio.c#rev1.122 If this commit is applied to NFS client, changes to

CVS commit: src/sys/uvm/pmap

2020-10-08 Thread Nick Hudson
Module Name:src Committed By: skrll Date: Thu Oct 8 14:02:40 UTC 2020 Modified Files: src/sys/uvm/pmap: pmap.c pmap_segtab.c Log Message: %#jx vs %jx consistency in UVMHIST_LOG To generate a diff of this commit: cvs rdiff -u -r1.56 -r1.57 src/sys/uvm/pmap/pmap.c cvs rdi

CVS commit: src/sys/uvm

2020-10-07 Thread Chuck Silvers
Module Name:src Committed By: chs Date: Wed Oct 7 17:51:50 UTC 2020 Modified Files: src/sys/uvm: uvm_init.c uvm_page.h uvm_pglist.c uvm_swap.c Log Message: Add a new, more aggressive allocator for uvm_pglistalloc() to allocate contiguous physical pages, and try this new a

CVS commit: src/sys/uvm

2020-10-04 Thread Rin Okuyama
Module Name:src Committed By: rin Date: Mon Oct 5 04:48:24 UTC 2020 Modified Files: src/sys/uvm: uvm_bio.c Log Message: PR kern/55658 ubc_fault_page(): Ignore PG_RDONLY flag and always pmap_enter() the page with the permissions of the original access_type. It is the fil

CVS commit: src/sys/uvm/pmap

2020-09-23 Thread Nick Hudson
Module Name:src Committed By: skrll Date: Thu Sep 24 06:45:58 UTC 2020 Modified Files: src/sys/uvm/pmap: pmap.c pmap_segtab.c pmap_tlb.c Log Message: Whitespace To generate a diff of this commit: cvs rdiff -u -r1.55 -r1.56 src/sys/uvm/pmap/pmap.c cvs rdiff -u -r1.24 -r1.

CVS commit: src/sys/uvm

2020-09-21 Thread Chuck Silvers
Module Name:src Committed By: chs Date: Mon Sep 21 18:41:59 UTC 2020 Modified Files: src/sys/uvm: uvm_amap.c uvm_io.c Log Message: the previous fix for PR 55366 in uvm_amap.c 1.124 was incomplete: - amap_adjref_anons() must also ignore AMAP_REFALL when updating the ppr

CVS commit: src/sys/uvm

2020-09-20 Thread Chuck Silvers
Module Name:src Committed By: chs Date: Sun Sep 20 23:03:01 UTC 2020 Modified Files: src/sys/uvm: uvm_amap.c Log Message: Effectively disable the AMAP_REFALL flag because it is unsafe. This flag tells the amap code that it does not need to allocate ppref as part of adding

CVS commit: src/sys/uvm

2020-09-20 Thread Nick Hudson
Module Name:src Committed By: skrll Date: Sun Sep 20 10:30:05 UTC 2020 Modified Files: src/sys/uvm: uvm_page.c uvm_page.h Log Message: G/C uvm_pagezerocheck To generate a diff of this commit: cvs rdiff -u -r1.246 -r1.247 src/sys/uvm/uvm_page.c cvs rdiff -u -r1.105 -r1.10

CVS commit: src/sys/uvm/pmap

2020-09-09 Thread Rin Okuyama
Module Name:src Committed By: rin Date: Thu Sep 10 02:12:57 UTC 2020 Modified Files: src/sys/uvm/pmap: pmap_segtab.c Log Message: Cast pointer arguments of UVMHIST_CALLARGS() into uintptr_t. Appease GCC9 -Wpointer-to-int-cast on ILP32 environments. To generate a diff of

CVS commit: src/sys/uvm/pmap

2020-08-22 Thread Nick Hudson
Module Name:src Committed By: skrll Date: Sat Aug 22 15:32:36 UTC 2020 Modified Files: src/sys/uvm/pmap: pmap_segtab.c Log Message: Remove the #if defined(__mips_n64) && PAGE_SIZE == 8192 and make the check MI - all PTs are PAGE_SIZE aligned To generate a diff of this co

CVS commit: src/sys/uvm/pmap

2020-08-22 Thread Nick Hudson
Module Name:src Committed By: skrll Date: Sat Aug 22 14:51:44 UTC 2020 Modified Files: src/sys/uvm/pmap: pmap_tlb.c Log Message: Whitespace - line continutation alignment To generate a diff of this commit: cvs rdiff -u -r1.39 -r1.40 src/sys/uvm/pmap/pmap_tlb.c Please no

CVS commit: src/sys/uvm/pmap

2020-08-22 Thread Nick Hudson
Module Name:src Committed By: skrll Date: Sat Aug 22 13:59:16 UTC 2020 Modified Files: src/sys/uvm/pmap: pmap_segtab.c Log Message: Trailing whitespace To generate a diff of this commit: cvs rdiff -u -r1.20 -r1.21 src/sys/uvm/pmap/pmap_segtab.c Please note that diffs ar

CVS commit: src/sys/uvm/pmap

2020-08-20 Thread matthew green
Module Name:src Committed By: mrg Date: Thu Aug 20 23:36:45 UTC 2020 Modified Files: src/sys/uvm/pmap: pmap_segtab.c Log Message: fix hpcmips and evbppc builds (wrong type in panic()). To generate a diff of this commit: cvs rdiff -u -r1.19 -r1.20 src/sys/uvm/pmap/pmap_se

CVS commit: src/sys/uvm/pmap

2020-08-19 Thread matthew green
Module Name:src Committed By: mrg Date: Thu Aug 20 05:54:32 UTC 2020 Modified Files: src/sys/uvm/pmap: pmap.c pmap.h pmap_segtab.c Log Message: move pmap segtab history into a new history of only 1000 entries, but will overflow much slower than the main pmap history. move

CVS commit: src/sys/uvm

2020-08-19 Thread Chuck Silvers
Module Name:src Committed By: chs Date: Wed Aug 19 15:36:41 UTC 2020 Modified Files: src/sys/uvm: uvm_aobj.c Log Message: in uao_get(), if we unlock the uobj to read a page from swap, we must clear the cached page array because it is now stale. also add a missing call to u

CVS commit: src/sys/uvm/pmap

2020-08-18 Thread Nick Hudson
Module Name:src Committed By: skrll Date: Wed Aug 19 06:11:49 UTC 2020 Modified Files: src/sys/uvm/pmap: pmap_tlb.c pmap_tlb.h Log Message: KNF. Add some whitespace to the TLBINV_MAP macro and tlb_invalidate_op enum. To generate a diff of this commit: cvs rdiff -u -r1.3

CVS commit: src/sys/uvm/pmap

2020-08-18 Thread Nick Hudson
Module Name:src Committed By: skrll Date: Wed Aug 19 06:08:27 UTC 2020 Modified Files: src/sys/uvm/pmap: pmap_tlb.c Log Message: Unwrap short line KASSERT To generate a diff of this commit: cvs rdiff -u -r1.37 -r1.38 src/sys/uvm/pmap/pmap_tlb.c Please note that diffs ar

CVS commit: src/sys/uvm/pmap

2020-08-18 Thread Nick Hudson
Module Name:src Committed By: skrll Date: Wed Aug 19 06:07:03 UTC 2020 Modified Files: src/sys/uvm/pmap: pmap_tlb.c Log Message: Fix inverted logic test in pmap_tlb_shootdown_process for if the victim is onproc. To generate a diff of this commit: cvs rdiff -u -r1.36 -r1.

CVS commit: src/sys/uvm/pmap

2020-08-18 Thread Simon Burge
Module Name:src Committed By: simonb Date: Tue Aug 18 11:48:21 UTC 2020 Modified Files: src/sys/uvm/pmap: pmap_segtab.c Log Message: Fix small tyop in a comment. To generate a diff of this commit: cvs rdiff -u -r1.17 -r1.18 src/sys/uvm/pmap/pmap_segtab.c Please note tha

CVS commit: src/sys/uvm

2020-08-18 Thread Chuck Silvers
Module Name:src Committed By: chs Date: Tue Aug 18 10:40:20 UTC 2020 Modified Files: src/sys/uvm: uvm_amap.c Log Message: fix amap_extend() to handle amaps where we previously failed to allocate the ppref memory. To generate a diff of this commit: cvs rdiff -u -r1.122 -r

CVS commit: src/sys/uvm/pmap

2020-08-18 Thread Nick Hudson
Module Name:src Committed By: skrll Date: Tue Aug 18 07:25:46 UTC 2020 Modified Files: src/sys/uvm/pmap: pmap_segtab.c Log Message: Improve a panic message ever so slightly To generate a diff of this commit: cvs rdiff -u -r1.16 -r1.17 src/sys/uvm/pmap/pmap_segtab.c Plea

CVS commit: src/sys/uvm/pmap

2020-08-17 Thread matthew green
Module Name:src Committed By: mrg Date: Mon Aug 17 08:56:27 UTC 2020 Modified Files: src/sys/uvm/pmap: pmap_segtab.c Log Message: add pmaphist calls around seg_tab[] manipulation. hopefully will help find what causes this: panic: pmap_segtab_alloc: pm_segtab.seg_tab[1010

CVS commit: src/sys/uvm

2020-08-15 Thread Chuck Silvers
Module Name:src Committed By: chs Date: Sun Aug 16 00:24:41 UTC 2020 Modified Files: src/sys/uvm: uvm_vnode.c Log Message: in uvm_findpage(), when uvm_page_array_fill_and_peek() returns a page that is not the one we want and we make an assertion about dirtiness, check the

CVS commit: src/sys/uvm

2020-08-15 Thread Chuck Silvers
Module Name:src Committed By: chs Date: Sat Aug 15 07:24:10 UTC 2020 Modified Files: src/sys/uvm: uvm_object.c Log Message: use uint64_t rather than int for storing the index of a page within an object. To generate a diff of this commit: cvs rdiff -u -r1.24 -r1.25 src/sy

CVS commit: src/sys/uvm

2020-08-14 Thread Tobias Nygren
Module Name:src Committed By: tnn Date: Sat Aug 15 01:27:22 UTC 2020 Modified Files: src/sys/uvm: uvm_page.c Log Message: add a __diagused to fix non-DIAGNOSTIC kernel To generate a diff of this commit: cvs rdiff -u -r1.245 -r1.246 src/sys/uvm/uvm_page.c Please note tha

CVS commit: src/sys/uvm/pmap

2020-08-10 Thread Nick Hudson
Module Name:src Committed By: skrll Date: Tue Aug 11 06:54:14 UTC 2020 Modified Files: src/sys/uvm/pmap: pmap_tlb.c Log Message: s/pmaphist/maphist/ for now To generate a diff of this commit: cvs rdiff -u -r1.35 -r1.36 src/sys/uvm/pmap/pmap_tlb.c Please note that diffs

CVS commit: src/sys/uvm/pmap

2020-08-10 Thread Nick Hudson
Module Name:src Committed By: skrll Date: Tue Aug 11 06:09:44 UTC 2020 Modified Files: src/sys/uvm/pmap: pmap.c pmap_tlb.c Log Message: More UVMHIST_LOG. Remove some commented output printfs. To generate a diff of this commit: cvs rdiff -u -r1.52 -r1.53 src/sys/uvm/pmap

CVS commit: src/sys/uvm/pmap

2020-08-10 Thread Nick Hudson
Module Name:src Committed By: skrll Date: Tue Aug 11 05:43:45 UTC 2020 Modified Files: src/sys/uvm/pmap: pmap.c Log Message: Fix a comment To generate a diff of this commit: cvs rdiff -u -r1.51 -r1.52 src/sys/uvm/pmap/pmap.c Please note that diffs are not public domain;

CVS commit: src/sys/uvm/pmap

2020-08-01 Thread Nick Hudson
Module Name:src Committed By: skrll Date: Sat Aug 1 07:14:05 UTC 2020 Modified Files: src/sys/uvm/pmap: pmap_tlb.h Log Message: Provide a TLBINFO_OWNED To generate a diff of this commit: cvs rdiff -u -r1.13 -r1.14 src/sys/uvm/pmap/pmap_tlb.h Please note that diffs are

CVS commit: src/sys/uvm

2020-07-23 Thread Nick Hudson
Module Name:src Committed By: skrll Date: Thu Jul 23 19:07:01 UTC 2020 Modified Files: src/sys/uvm: uvm_param.h Log Message: unifdef -U_LKM To generate a diff of this commit: cvs rdiff -u -r1.40 -r1.41 src/sys/uvm/uvm_param.h Please note that diffs are not public domain

CVS commit: src/sys/uvm/pmap

2020-07-18 Thread Nick Hudson
Module Name:src Committed By: skrll Date: Sat Jul 18 16:12:09 UTC 2020 Modified Files: src/sys/uvm/pmap: pmap.c Log Message: Always call pmap_segtab_activate in pmap_activate. pmap_segtab_activate does the right thing if called with non-curlwp. To generate a diff of thi

CVS commit: src/sys/uvm

2020-07-15 Thread Rin Okuyama
Module Name:src Committed By: rin Date: Wed Jul 15 15:08:26 UTC 2020 Modified Files: src/sys/uvm: uvm_physseg.c Log Message: Fix typo. Use PRIxPADDR rather than casting. To generate a diff of this commit: cvs rdiff -u -r1.16 -r1.17 src/sys/uvm/uvm_physseg.c Please note

CVS commit: src/sys/uvm

2020-07-13 Thread matthew green
Module Name:src Committed By: mrg Date: Mon Jul 13 10:46:10 UTC 2020 Modified Files: src/sys/uvm: uvm_physseg.c Log Message: paddr_t can't be printed by "%lx" in some platforms. fix the eg, i386 build. To generate a diff of this commit: cvs rdiff -u -r1.15 -r1.16 src/sy

CVS commit: src/sys/uvm

2020-07-12 Thread matthew green
Module Name:src Committed By: mrg Date: Mon Jul 13 05:52:50 UTC 2020 Modified Files: src/sys/uvm: uvm_physseg.c Log Message: actually show the start/end that failed start < end in uvm_page_physload(). To generate a diff of this commit: cvs rdiff -u -r1.14 -r1.15 src/sys/

CVS commit: src/sys/uvm

2020-07-09 Thread Rin Okuyama
Module Name:src Committed By: rin Date: Thu Jul 9 09:24:33 UTC 2020 Modified Files: src/sys/uvm: uvm_bio.c Log Message: PR kern/55467 tmpfs calls pmap_kenter_pa(9) with virtual address with page offset Bisectioning revealed that the failure starts with this commit: sys/

CVS commit: src/sys/uvm

2020-07-08 Thread Nick Hudson
Module Name:src Committed By: skrll Date: Thu Jul 9 05:57:15 UTC 2020 Modified Files: src/sys/uvm: uvm_amap.c uvm_anon.c uvm_aobj.c uvm_bio.c uvm_device.c uvm_fault.c uvm_km.c uvm_map.c uvm_page.c uvm_pager.c uvm_pdaemon.c uvm_swap.c uvm_vnode.c Lo

CVS commit: src/sys/uvm

2020-07-08 Thread Nick Hudson
Module Name:src Committed By: skrll Date: Wed Jul 8 13:26:22 UTC 2020 Modified Files: src/sys/uvm: uvm_amap.c uvm_anon.c uvm_aobj.c uvm_bio.c uvm_km.c uvm_pager.c uvm_swap.c Log Message: Trailing whitespace To generate a diff of this commit: cvs rdiff -u -r1

CVS commit: src/sys/uvm/pmap

2020-07-08 Thread Nick Hudson
Module Name:src Committed By: skrll Date: Wed Jul 8 12:12:16 UTC 2020 Modified Files: src/sys/uvm/pmap: pmap.h Log Message: Comment updates To generate a diff of this commit: cvs rdiff -u -r1.14 -r1.15 src/sys/uvm/pmap/pmap.h Please note that diffs are not public domai

CVS commit: src/sys/uvm

2020-06-29 Thread Taylor R Campbell
Module Name:src Committed By: riastradh Date: Mon Jun 29 23:40:28 UTC 2020 Modified Files: src/sys/uvm: uvm_swap.c Log Message: uvm: Make sure swap encryption IV is 128-bit-aligned on stack. Will help hardware-assisted AES. To generate a diff of this commit: cvs rdiff -

CVS commit: src/sys/uvm

2020-06-29 Thread Taylor R Campbell
Module Name:src Committed By: riastradh Date: Mon Jun 29 23:33:46 UTC 2020 Modified Files: src/sys/uvm: files.uvm uvm_swap.c Log Message: uvm(9): Switch from legacy rijndael API to new aes API. To generate a diff of this commit: cvs rdiff -u -r1.34 -r1.35 src/sys/uvm/fil

CVS commit: src/sys/uvm

2020-06-25 Thread Jaromir Dolecek
Module Name:src Committed By: jdolecek Date: Thu Jun 25 18:20:18 UTC 2020 Modified Files: src/sys/uvm: uvm_param.h Log Message: uvm_emap_size was removed a while ago To generate a diff of this commit: cvs rdiff -u -r1.39 -r1.40 src/sys/uvm/uvm_param.h Please note that d

CVS commit: src/sys/uvm

2020-06-25 Thread Michael van Elst
Module Name:src Committed By: mlelstv Date: Thu Jun 25 16:36:43 UTC 2020 Modified Files: src/sys/uvm: uvm_param.h Log Message: If ubc_winshift gets constified, the extern declaration must be too. To generate a diff of this commit: cvs rdiff -u -r1.38 -r1.39 src/sys/uvm/u

CVS commit: src/sys/uvm

2020-06-25 Thread Jaromir Dolecek
Module Name:src Committed By: jdolecek Date: Thu Jun 25 14:04:30 UTC 2020 Modified Files: src/sys/uvm: uvm_bio.c Log Message: make ubc_winshift / ubc_winsize constant, and based on whatever is bigger of (1 << UBC_WINSHIFT, MAX_PAGE_SIZE) given that default UBC_WINSHIFT is

CVS commit: src/sys/uvm

2020-06-25 Thread Jaromir Dolecek
Module Name:src Committed By: jdolecek Date: Thu Jun 25 09:58:44 UTC 2020 Modified Files: src/sys/uvm: uvm_pager.c Log Message: use maximum-size fixed size array instead of variable-length array in uvm_aio_aiodone() so that the stack usage can be determined and checked in

CVS commit: src/sys/uvm

2020-06-16 Thread Jason R Thorpe
Module Name:src Committed By: thorpej Date: Wed Jun 17 06:24:16 UTC 2020 Modified Files: src/sys/uvm: uvm_page.c Log Message: not needed here. To generate a diff of this commit: cvs rdiff -u -r1.242 -r1.243 src/sys/uvm/uvm_page.c Please note that diffs are not public d

CVS commit: src/sys/uvm

2020-06-14 Thread Andrew Doran
Module Name:src Committed By: ad Date: Sun Jun 14 22:25:15 UTC 2020 Modified Files: src/sys/uvm: uvm_extern.h Log Message: g/c vm_page_zero_enable To generate a diff of this commit: cvs rdiff -u -r1.229 -r1.230 src/sys/uvm/uvm_extern.h Please note that diffs are not pub

CVS commit: src/sys/uvm

2020-06-13 Thread Andrew Doran
Module Name:src Committed By: ad Date: Sat Jun 13 19:55:39 UTC 2020 Modified Files: src/sys/uvm: uvm_page.c Log Message: uvm_pagerealloc(): resurrect the insertion case. To generate a diff of this commit: cvs rdiff -u -r1.240 -r1.241 src/sys/uvm/uvm_page.c Please note t

CVS commit: src/sys/uvm

2020-06-13 Thread Andrew Doran
Module Name:src Committed By: ad Date: Sat Jun 13 19:55:58 UTC 2020 Modified Files: src/sys/uvm: uvm_extern.h Log Message: uvm_pagerealloc(): resurrect the insertion case. To generate a diff of this commit: cvs rdiff -u -r1.228 -r1.229 src/sys/uvm/uvm_extern.h Please no

CVS commit: src/sys/uvm

2020-06-11 Thread Andrew Doran
Module Name:src Committed By: ad Date: Thu Jun 11 22:25:51 UTC 2020 Modified Files: src/sys/uvm: uvm_pglist.c Log Message: Counter tweaks: - Don't need to count anonpages+filepages any more; clean+unknown+dirty for each kind of page can be summed to get the totals. - T

CVS commit: src/sys/uvm

2020-05-30 Thread Maxime Villard
Module Name:src Committed By: maxv Date: Sat May 30 08:50:31 UTC 2020 Modified Files: src/sys/uvm: uvm_map.c Log Message: Avoid passing file paths in panic strings, this results in extra long output that is annoying and that syzbot classifies as independent reports due to

CVS commit: src/sys/uvm

2020-05-26 Thread Andrew Doran
Module Name:src Committed By: ad Date: Tue May 26 21:52:12 UTC 2020 Modified Files: src/sys/uvm: uvm_page_array.c Log Message: uvm_page_array_fill(): return ENOENT in all cases when nothing's left. To generate a diff of this commit: cvs rdiff -u -r1.8 -r1.9 src/sys/uvm/u

CVS commit: src/sys/uvm

2020-05-25 Thread Andrew Doran
Module Name:src Committed By: ad Date: Mon May 25 22:04:51 UTC 2020 Modified Files: src/sys/uvm: uvm_aobj.c Log Message: uao_get(): in the PGO_SYNCIO case use uvm_page_array and simplify control flow a little bit. To generate a diff of this commit: cvs rdiff -u -r1.146 -

CVS commit: src/sys/uvm

2020-05-25 Thread Andrew Doran
Module Name:src Committed By: ad Date: Mon May 25 22:01:27 UTC 2020 Modified Files: src/sys/uvm: uvm_page_array.c Log Message: Make previous work as intended. Bad programmer. To generate a diff of this commit: cvs rdiff -u -r1.7 -r1.8 src/sys/uvm/uvm_page_array.c Pleas

CVS commit: src/sys/uvm

2020-05-25 Thread Andrew Doran
Module Name:src Committed By: ad Date: Mon May 25 21:22:40 UTC 2020 Modified Files: src/sys/uvm: uvm_page_array.c Log Message: Minor correction to previous. To generate a diff of this commit: cvs rdiff -u -r1.6 -r1.7 src/sys/uvm/uvm_page_array.c Please note that diffs a

CVS commit: src/sys/uvm

2020-05-25 Thread Andrew Doran
Module Name:src Committed By: ad Date: Mon May 25 20:13:00 UTC 2020 Modified Files: src/sys/uvm: uvm_aobj.c Log Message: PR kern/55300: ubciomove triggers page not dirty assertion If overwriting an existing page, mark it dirty since there may be no managed mapping to trac

CVS commit: src/sys/uvm

2020-05-25 Thread Andrew Doran
Module Name:src Committed By: ad Date: Mon May 25 19:46:20 UTC 2020 Modified Files: src/sys/uvm: uvm_pdaemon.c Log Message: uvm_pageout_done(): do nothing when npages is zero. To generate a diff of this commit: cvs rdiff -u -r1.126 -r1.127 src/sys/uvm/uvm_pdaemon.c Plea

CVS commit: src/sys/uvm

2020-05-25 Thread Andrew Doran
Module Name:src Committed By: ad Date: Mon May 25 19:29:09 UTC 2020 Modified Files: src/sys/uvm: uvm_bio.c Log Message: ubc_uiomove_direct(): if UBC_FAULTBUSY, the left-over portion of the final page needs to be zeroed. To generate a diff of this commit: cvs rdiff -u -r1

CVS commit: src/sys/uvm

2020-05-24 Thread Andrew Doran
Module Name:src Committed By: ad Date: Sun May 24 20:05:54 UTC 2020 Modified Files: src/sys/uvm: uvm_bio.c Log Message: - ubc_uiomove(): Always use direct access in the UBC_FAULTBUSY case, since it works basically the same way as !direct minus temporary mappings, and

CVS commit: src/sys/uvm

2020-05-24 Thread Andrew Doran
Module Name:src Committed By: ad Date: Sun May 24 19:46:59 UTC 2020 Modified Files: src/sys/uvm: uvm_page.c uvm_page.h Log Message: Add uvm_pagewanted_p(): return true if someone is waiting on the page and assert caller has correct lock to observe that. To generate a dif

CVS commit: src/sys/uvm

2020-05-24 Thread Jaromir Dolecek
Module Name:src Committed By: jdolecek Date: Sun May 24 14:11:49 UTC 2020 Modified Files: src/sys/uvm: uvm_swap.c Log Message: fix KASAN PoolUseAfterFree for async write - can't read bp after VOP_STRATEGY() problem found and fix provided by Paul Ripke To generate a diff

CVS commit: src/sys/uvm

2020-05-23 Thread Andrew Doran
Module Name:src Committed By: ad Date: Sat May 23 20:22:42 UTC 2020 Modified Files: src/sys/uvm: uvm_pglist.c Log Message: uvm_pglistfree(): just use uvm_pagefree(). To generate a diff of this commit: cvs rdiff -u -r1.81 -r1.82 src/sys/uvm/uvm_pglist.c Please note that

CVS commit: src/sys/uvm

2020-05-23 Thread Andrew Doran
Module Name:src Committed By: ad Date: Sat May 23 11:59:03 UTC 2020 Modified Files: src/sys/uvm: uvm_bio.c Log Message: - In ubc_alloc() take initial offset into account in the UBC_FAULTBUSY case or one too few pages can be mapped. - In ubc_release() with UBC_FAULTBUSY,

CVS commit: src/sys/uvm

2020-05-22 Thread Andrew Doran
Module Name:src Committed By: ad Date: Fri May 22 19:46:29 UTC 2020 Modified Files: src/sys/uvm: uvm_glue.c Log Message: Remove the ubc_direct hack. To generate a diff of this commit: cvs rdiff -u -r1.178 -r1.179 src/sys/uvm/uvm_glue.c Please note that diffs are not pub

CVS commit: src/sys/uvm

2020-05-22 Thread Andrew Doran
Module Name:src Committed By: ad Date: Fri May 22 19:02:59 UTC 2020 Modified Files: src/sys/uvm: uvm_aobj.c Log Message: uao_get(): handle PGO_OVERWRITE. To generate a diff of this commit: cvs rdiff -u -r1.143 -r1.144 src/sys/uvm/uvm_aobj.c Please note that diffs are no

CVS commit: src/sys/uvm

2020-05-22 Thread Jaromir Dolecek
Module Name:src Committed By: jdolecek Date: Fri May 22 11:54:05 UTC 2020 Modified Files: src/sys/uvm: uvm_swap.c Log Message: DRY code in uvm_swap_io() for the write loop To generate a diff of this commit: cvs rdiff -u -r1.191 -r1.192 src/sys/uvm/uvm_swap.c Please note

CVS commit: src/sys/uvm

2020-05-21 Thread Taylor R Campbell
Module Name:src Committed By: riastradh Date: Thu May 21 16:50:25 UTC 2020 Modified Files: src/sys/uvm: uvm_swap.c Log Message: Let's not waste time decrypting garbage, shall we? Skip to the end if the transfer failed. To generate a diff of this commit: cvs rdiff -u -r1

CVS commit: src/sys/uvm

2020-05-20 Thread Andrew Doran
Module Name:src Committed By: ad Date: Wed May 20 18:37:50 UTC 2020 Modified Files: src/sys/uvm: uvm_loan.c Log Message: uvm_loanuobjpages(): - there are no pages to unbusy in the error case - always clear the caller's page array To generate a diff of this commit: cvs r

CVS commit: src/sys/uvm

2020-05-20 Thread Taylor R Campbell
Module Name:src Committed By: riastradh Date: Wed May 20 17:48:34 UTC 2020 Modified Files: src/sys/uvm: uvm_swap.c Log Message: Make swap encryption MP-safe. Not entirely sure the rest of the swap system is MP-safe, but let's not make it worse! XXX Why is swap_syscall_lo

CVS commit: src/sys/uvm

2020-05-20 Thread Juergen Hannken-Illjes
Module Name:src Committed By: hannken Date: Wed May 20 12:47:36 UTC 2020 Modified Files: src/sys/uvm: uvm_aobj.c Log Message: Suppress GCC warnings and fix a UVMHIST_LOG() statement. Kernels ALL/amd64 and ALL/i386 and port sparc64 build again. To generate a diff of this

CVS commit: src/sys/uvm

2020-05-19 Thread Andrew Doran
Module Name:src Committed By: ad Date: Tue May 19 21:52:04 UTC 2020 Modified Files: src/sys/uvm: uvm_loan.c Log Message: uvm_loanuobjpages(): - vmobjlock is shared between tmpfs vnodes and UAOs now - split into two routines, to simplify - fix error recovery To generate

CVS commit: src/sys/uvm

2020-05-19 Thread Andrew Doran
Module Name:src Committed By: ad Date: Tue May 19 21:45:35 UTC 2020 Modified Files: src/sys/uvm: uvm_readahead.c Log Message: Drop & re-acquire vmobjlock less often. To generate a diff of this commit: cvs rdiff -u -r1.12 -r1.13 src/sys/uvm/uvm_readahead.c Please note th

CVS commit: src/sys/uvm

2020-05-19 Thread Andrew Doran
Module Name:src Committed By: ad Date: Tue May 19 21:45:57 UTC 2020 Modified Files: src/sys/uvm: uvm_vnode.c Log Message: Don't try to do readahead on tmpfs. To generate a diff of this commit: cvs rdiff -u -r1.111 -r1.112 src/sys/uvm/uvm_vnode.c Please note that diffs a

CVS commit: src/sys/uvm

2020-05-19 Thread Andrew Doran
Module Name:src Committed By: ad Date: Tue May 19 20:46:39 UTC 2020 Modified Files: src/sys/uvm: uvm_page.c Log Message: UVM_PAGE_TRKOWN: print the LID too To generate a diff of this commit: cvs rdiff -u -r1.236 -r1.237 src/sys/uvm/uvm_page.c Please note that diffs are

CVS commit: src/sys/uvm

2020-05-17 Thread Andrew Doran
Module Name:src Committed By: ad Date: Sun May 17 17:12:28 UTC 2020 Modified Files: src/sys/uvm: uvm_page.c Log Message: Don't set PG_AOBJ on a page unless UVM_OBJ_IS_AOBJ(), otherwise it can catch pages from e.g. uvm_loanzero_object. To generate a diff of this commit: c

CVS commit: src/sys/uvm

2020-05-17 Thread Andrew Doran
Module Name:src Committed By: ad Date: Sun May 17 15:11:57 UTC 2020 Modified Files: src/sys/uvm: uvm.h uvm_page.c Log Message: - If the hardware provided NUMA info, then use it to decide how to set up the allocator's buckets, instead of doing round robin distribution. T

CVS commit: src/sys/uvm

2020-05-17 Thread Andrew Doran
Module Name:src Committed By: ad Date: Sun May 17 15:07:22 UTC 2020 Modified Files: src/sys/uvm: uvm_amap.c Log Message: Mark amappl with PR_LARGECACHE. To generate a diff of this commit: cvs rdiff -u -r1.119 -r1.120 src/sys/uvm/uvm_amap.c Please note that diffs are not

CVS commit: src/sys/uvm

2020-05-15 Thread Andrew Doran
Module Name:src Committed By: ad Date: Fri May 15 22:35:05 UTC 2020 Modified Files: src/sys/uvm: uvm_fault.c Log Message: Reported-by: syzbot+3e3c7cfa8093f8de0...@syzkaller.appspotmail.com Comment out an assertion that's now bogus and add a comment. To generate a diff o

CVS commit: src/sys/uvm

2020-05-15 Thread Andrew Doran
Module Name:src Committed By: ad Date: Fri May 15 22:27:04 UTC 2020 Modified Files: src/sys/uvm: uvm_aobj.c Log Message: PR kern/55268: tmpfs is slow uao_get(): in the PGO_LOCKED case, we're okay to allocate a new page as long as the caller holds a write lock. PGO_NOBUSY

  1   2   3   4   5   6   7   8   >