CVS commit: src/sys/dev/usb

2021-07-15 Thread Tohru Nishimura
Module Name:src Committed By: nisimura Date: Thu Jul 15 15:23:46 UTC 2021 Modified Files: src/sys/dev/usb: if_mue.c Log Message: back out the previous accidental commit To generate a diff of this commit: cvs rdiff -u -r1.61 -r1.62 src/sys/dev/usb/if_mue.c Please note

CVS commit: src/sys/dev/usb

2021-07-14 Thread Tohru Nishimura
Module Name:src Committed By: nisimura Date: Thu Jul 15 03:25:50 UTC 2021 Modified Files: src/sys/dev/usb: if_mue.c uchcom.c Log Message: explanation typo To generate a diff of this commit: cvs rdiff -u -r1.60 -r1.61 src/sys/dev/usb/if_mue.c cvs rdiff -u -r1.38 -r1.39

CVS commit: src/sys/dev/usb

2021-07-14 Thread Tohru Nishimura
Module Name:src Committed By: nisimura Date: Wed Jul 14 07:34:16 UTC 2021 Modified Files: src/sys/dev/usb: uchcom.c Log Message: The second REQ_RESET USB request by reset_chip() makes LCR, PRE and DIV registers to have default values 8N1 and 19200 just as the first req

CVS commit: src/sys/dev/usb

2021-06-27 Thread Paul Goyette
Module Name:src Committed By: pgoyette Date: Sun Jun 27 22:41:55 UTC 2021 Modified Files: src/sys/dev/usb: ukbd.c Log Message: Since there's a bit of code that is conditionally compiled based on USBVERBOSE, we need to include the opt_usbverbose.h header to get any

CVS commit: src/sys/dev/usb

2021-06-24 Thread matthew green
Module Name:src Committed By: mrg Date: Thu Jun 24 23:01:37 UTC 2021 Modified Files: src/sys/dev/usb: usbnet.h Log Message: clarify some comments about what the "send callback" is. To generate a diff of this commit: cvs rdiff -u -r1.20 -r1.21 src/sys/dev/usb/usbnet.h

CVS commit: src/sys/dev/usb

2021-06-24 Thread matthew green
Module Name:src Committed By: mrg Date: Thu Jun 24 23:01:03 UTC 2021 Modified Files: src/sys/dev/usb: ohci.c Log Message: turn off ohcidebug by default. none of the other HCs do this. next up: options to set these by default To generate a diff of this commit: cvs

CVS commit: src/sys/dev/usb

2021-06-24 Thread matthew green
Module Name:src Committed By: mrg Date: Thu Jun 24 23:00:14 UTC 2021 Modified Files: src/sys/dev/usb: TODO.usbmp Log Message: update a couple of lists of drivers that have had a few changes To generate a diff of this commit: cvs rdiff -u -r1.19 -r1.20

CVS commit: src/sys/dev/usb

2021-06-24 Thread Taylor R Campbell
Module Name:src Committed By: riastradh Date: Thu Jun 24 08:20:42 UTC 2021 Modified Files: src/sys/dev/usb: ucom.c Log Message: ucom(4): Fix earlier mistake causing pipes not to be closed. In revision 1.123, mrg@ changed what he thought was a double-close, but was

CVS commit: src/sys/dev/usb

2021-06-18 Thread Michael van Elst
Module Name:src Committed By: mlelstv Date: Sat Jun 19 05:50:48 UTC 2021 Modified Files: src/sys/dev/usb: uaudio.c Log Message: Relax identification of interfaces and endpoints. Now also attaches headsets like Jabra Evolve 75. To generate a diff of this commit: cvs

CVS commit: src/sys/dev/usb

2021-06-16 Thread Taylor R Campbell
Module Name:src Committed By: riastradh Date: Wed Jun 16 13:20:49 UTC 2021 Modified Files: src/sys/dev/usb: usbdi.c Log Message: usb(4): Fix uninitialized variable for error branch. To generate a diff of this commit: cvs rdiff -u -r1.217 -r1.218 src/sys/dev/usb/usbdi.c

CVS commit: src/sys/dev/usb

2021-06-15 Thread Taylor R Campbell
Module Name:src Committed By: riastradh Date: Tue Jun 15 23:39:43 UTC 2021 Modified Files: src/sys/dev/usb: ohci.c Log Message: ohci(4): Constify a constant debug string table. To generate a diff of this commit: cvs rdiff -u -r1.315 -r1.316 src/sys/dev/usb/ohci.c

CVS commit: src/sys/dev/usb

2021-06-14 Thread Michael van Elst
Module Name:src Committed By: mlelstv Date: Mon Jun 14 15:35:34 UTC 2021 Modified Files: src/sys/dev/usb: usbdi.c Log Message: appease gcc9 To generate a diff of this commit: cvs rdiff -u -r1.216 -r1.217 src/sys/dev/usb/usbdi.c Please note that diffs are not public

CVS commit: src/sys/dev/usb

2021-06-13 Thread Taylor R Campbell
Module Name:src Committed By: riastradh Date: Sun Jun 13 14:48:10 UTC 2021 Modified Files: src/sys/dev/usb: uhub.c usb.c usb_subr.c usbdi.h Log Message: usb(4): Bus exploration is single-threaded -- assert it so. New usb_in_event_thread(dev) returns true if dev is a USB

CVS commit: src/sys/dev/usb

2021-06-13 Thread Taylor R Campbell
Module Name:src Committed By: riastradh Date: Sun Jun 13 14:46:07 UTC 2021 Modified Files: src/sys/dev/usb: uhub.c Log Message: uhub(4): Defer rescan to USB event thread. Keep all of the USB bus exploration in a single thread -- this appears to have been the original

CVS commit: src/sys/dev/usb

2021-06-13 Thread Michael van Elst
Module Name:src Committed By: mlelstv Date: Sun Jun 13 09:32:01 UTC 2021 Modified Files: src/sys/dev/usb: ubsa.c Log Message: Refactor handling of "quad utms" devices and more. To generate a diff of this commit: cvs rdiff -u -r1.41 -r1.42 src/sys/dev/usb/ubsa.c Please

CVS commit: src/sys/dev/usb

2021-06-13 Thread Michael van Elst
Module Name:src Committed By: mlelstv Date: Sun Jun 13 09:29:38 UTC 2021 Modified Files: src/sys/dev/usb: ubsa_common.c Log Message: Handle IXON,IXOFF individually. To generate a diff of this commit: cvs rdiff -u -r1.14 -r1.15 src/sys/dev/usb/ubsa_common.c Please note

CVS commit: src/sys/dev/usb

2021-06-13 Thread Michael van Elst
Module Name:src Committed By: mlelstv Date: Sun Jun 13 09:28:23 UTC 2021 Modified Files: src/sys/dev/usb: uthum.c Log Message: Fix envsys detach paths. To generate a diff of this commit: cvs rdiff -u -r1.20 -r1.21 src/sys/dev/usb/uthum.c Please note that diffs are not

CVS commit: src/sys/dev/usb

2021-06-13 Thread Michael van Elst
Module Name:src Committed By: mlelstv Date: Sun Jun 13 09:27:20 UTC 2021 Modified Files: src/sys/dev/usb: uhso.c Log Message: Remove duplicate. To generate a diff of this commit: cvs rdiff -u -r1.34 -r1.35 src/sys/dev/usb/uhso.c Please note that diffs are not public

CVS commit: src/sys/dev/usb

2021-06-13 Thread Michael van Elst
Module Name:src Committed By: mlelstv Date: Sun Jun 13 09:26:24 UTC 2021 Modified Files: src/sys/dev/usb: if_kue.c Log Message: Align product name. To generate a diff of this commit: cvs rdiff -u -r1.104 -r1.105 src/sys/dev/usb/if_kue.c Please note that diffs are not

CVS commit: src/sys/dev/usb

2021-06-13 Thread Michael van Elst
Module Name:src Committed By: mlelstv Date: Sun Jun 13 09:24:33 UTC 2021 Modified Files: src/sys/dev/usb: if_zyd.c Log Message: Remove duplicate To generate a diff of this commit: cvs rdiff -u -r1.59 -r1.60 src/sys/dev/usb/if_zyd.c Please note that diffs are not public

CVS commit: src/sys/dev/usb

2021-06-13 Thread Michael van Elst
Module Name:src Committed By: mlelstv Date: Sun Jun 13 09:23:42 UTC 2021 Modified Files: src/sys/dev/usb: usbdevs Log Message: Correct some vendor names, remove duplicates. To generate a diff of this commit: cvs rdiff -u -r1.793 -r1.794 src/sys/dev/usb/usbdevs Please

CVS commit: src/sys/dev/usb

2021-06-13 Thread Michael van Elst
Module Name:src Committed By: mlelstv Date: Sun Jun 13 09:12:24 UTC 2021 Modified Files: src/sys/dev/usb: usb_subr.c Log Message: Fix last patch. To generate a diff of this commit: cvs rdiff -u -r1.263 -r1.264 src/sys/dev/usb/usb_subr.c Please note that diffs are not

CVS commit: src/sys/dev/usb

2021-06-13 Thread Michael van Elst
Module Name:src Committed By: mlelstv Date: Sun Jun 13 08:50:33 UTC 2021 Modified Files: src/sys/dev/usb: usb_subr.c Log Message: Use correct integer lengths for properties. Change property names vendor -> vendor-id, product -> product-id to match other users. To

CVS commit: src/sys/dev/usb

2021-06-13 Thread Michael van Elst
Module Name:src Committed By: mlelstv Date: Sun Jun 13 08:48:29 UTC 2021 Modified Files: src/sys/dev/usb: usb_subr.c Log Message: Fix non-DIAGNOSTIC build. To generate a diff of this commit: cvs rdiff -u -r1.261 -r1.262 src/sys/dev/usb/usb_subr.c Please note that diffs

CVS commit: src/sys/dev/usb

2021-06-13 Thread Michael van Elst
Module Name:src Committed By: mlelstv Date: Sun Jun 13 07:51:09 UTC 2021 Modified Files: src/sys/dev/usb: uaudio.c Log Message: Don't use aprint* for non-autoconf errors. Also print status value. To generate a diff of this commit: cvs rdiff -u -r1.170 -r1.171

CVS commit: src/sys/dev/usb

2021-06-13 Thread Michael van Elst
Module Name:src Committed By: mlelstv Date: Sun Jun 13 07:49:43 UTC 2021 Modified Files: src/sys/dev/usb: uaudio.c Log Message: Don't ignore detach errors from children. To generate a diff of this commit: cvs rdiff -u -r1.169 -r1.170 src/sys/dev/usb/uaudio.c Please

CVS commit: src/sys/dev/usb

2021-06-12 Thread Taylor R Campbell
Module Name:src Committed By: riastradh Date: Sun Jun 13 00:13:24 UTC 2021 Modified Files: src/sys/dev/usb: usb_subr.c usbdi.c usbdivar.h Log Message: usb(4): Tighten interface locking and pipe references. - Just use a reference count, not a list of pipes. - Take the

CVS commit: src/sys/dev/usb

2021-06-12 Thread Taylor R Campbell
Module Name:src Committed By: riastradh Date: Sun Jun 13 00:11:57 UTC 2021 Modified Files: src/sys/dev/usb: uhub.c Log Message: uhub(4): Trigger bus exploration after rescanning children. Otherwise, if uhub4 is attached at uhub1, then when we do # drvctl -d

CVS commit: src/sys/dev/usb

2021-06-12 Thread Taylor R Campbell
Module Name:src Committed By: riastradh Date: Sat Jun 12 15:49:45 UTC 2021 Modified Files: src/sys/dev/usb: usb_subr.c usbdi.c usbdivar.h Log Message: usb(4): Nix unused struct usbd_interface::ui_priv. To generate a diff of this commit: cvs rdiff -u -r1.259 -r1.260

CVS commit: src/sys/dev/usb

2021-06-12 Thread Taylor R Campbell
Module Name:src Committed By: riastradh Date: Sat Jun 12 15:41:22 UTC 2021 Modified Files: src/sys/dev/usb: usb_subr.c usbdi.c Log Message: usb(4): Make usbd_fill_iface_data atomic. Now either it replaces and frees the old endpoints array, or it leaves everything in

CVS commit: src/sys/dev/usb

2021-06-12 Thread Taylor R Campbell
Module Name:src Committed By: riastradh Date: Sat Jun 12 15:40:07 UTC 2021 Modified Files: src/sys/dev/usb: usbdi.c Log Message: usb(4): Tweak style in usbd_close_pipe. No functional change intended. To generate a diff of this commit: cvs rdiff -u -r1.212 -r1.213

CVS commit: src/sys/dev/usb

2021-06-12 Thread Taylor R Campbell
Module Name:src Committed By: riastradh Date: Sat Jun 12 15:39:57 UTC 2021 Modified Files: src/sys/dev/usb: usb_subr.c usbdi.c Log Message: usb(4): Merge logic in usbd_kill_pipe and usbd_close_pipe. usbd_kill_pipe is now just usbd_abort/close_pipe. No functional change

CVS commit: src/sys/dev/usb

2021-06-12 Thread Taylor R Campbell
Module Name:src Committed By: riastradh Date: Sat Jun 12 15:39:46 UTC 2021 Modified Files: src/sys/dev/usb: usb_subr.c usbdi.c Log Message: usb(4): Fix fix for interface change pipe fix. If there is an interface: - Always put the pipe on the list in usbd_setup_pipe (if

CVS commit: src/sys/dev/usb

2021-06-12 Thread Taylor R Campbell
Module Name:src Committed By: riastradh Date: Sat Jun 12 15:09:18 UTC 2021 Modified Files: src/sys/dev/usb: usbdi.c Log Message: Revert "usb(4): Fix mistake in previous fix for pipe/interface-change races." Little too fast on the commit trigger there before testing,

CVS commit: src/sys/dev/usb

2021-06-12 Thread Taylor R Campbell
Module Name:src Committed By: riastradh Date: Sat Jun 12 14:57:53 UTC 2021 Modified Files: src/sys/dev/usb: usbdi.c Log Message: usb(4): Fix mistake in previous fix for pipe/interface-change races. Must block interface changes _before_ we enter usbd_setup_pipe_flags,

CVS commit: src/sys/dev/usb

2021-06-12 Thread Taylor R Campbell
Module Name:src Committed By: riastradh Date: Sat Jun 12 14:43:27 UTC 2021 Modified Files: src/sys/dev/usb: usb_subr.c usbdi.c usbdivar.h Log Message: usb(4): Fix races between usbd_open_pipe* and usbd_set_interface. To generate a diff of this commit: cvs rdiff -u

CVS commit: src/sys/dev/usb

2021-06-12 Thread Taylor R Campbell
Module Name:src Committed By: riastradh Date: Sat Jun 12 13:58:06 UTC 2021 Modified Files: src/sys/dev/usb: usb_subr.c usbdi.c usbdivar.h xhci.c Log Message: usb(4): Fix racy endpoint reference counting. Rules: 1. After usbd_setup_pipe*, must usbd_kill_pipe. 2. After

CVS commit: src/sys/dev/usb

2021-06-12 Thread Taylor R Campbell
Module Name:src Committed By: riastradh Date: Sat Jun 12 13:57:51 UTC 2021 Modified Files: src/sys/dev/usb: usbdi.c Log Message: usb(4): Omit needless lock/unlock cycle in usbd_pipe_close. pipe->up_intrxfer is stable after construction, so no need to hold the lock to

CVS commit: src/sys/dev/usb

2021-06-12 Thread Taylor R Campbell
Module Name:src Committed By: riastradh Date: Sat Jun 12 13:57:40 UTC 2021 Modified Files: src/sys/dev/usb: usbdi.c Log Message: usb(4): Cancel and wait for async task on closing pipe. XXX usbd_kill_pipe and usbd_close_pipe should not be copypasta; there should be only

CVS commit: src/sys/dev/usb

2021-06-12 Thread Taylor R Campbell
Module Name:src Committed By: riastradh Date: Sat Jun 12 12:13:23 UTC 2021 Modified Files: src/sys/dev/usb: uhub.c usb_subr.c Log Message: usb(4), uhub(4): Sprinkle usbhist. To generate a diff of this commit: cvs rdiff -u -r1.149 -r1.150 src/sys/dev/usb/uhub.c cvs rdiff

CVS commit: src/sys/dev/usb

2021-06-12 Thread Taylor R Campbell
Module Name:src Committed By: riastradh Date: Sat Jun 12 12:13:11 UTC 2021 Modified Files: src/sys/dev/usb: uhub.c usb.c usb_subr.c xhci.c Log Message: usb(4): Sprinkle kernel lock assertions. To generate a diff of this commit: cvs rdiff -u -r1.148 -r1.149

CVS commit: src/sys/dev/usb

2021-06-12 Thread Taylor R Campbell
Module Name:src Committed By: riastradh Date: Sat Jun 12 12:11:38 UTC 2021 Modified Files: src/sys/dev/usb: usb.c Log Message: usb(4): usb event thread is not actually MP-safe. Still requires a lot of work in usb(4), uhub(4), and autoconf(9). To generate a diff of this

CVS commit: src/sys/dev/usb

2021-06-12 Thread Taylor R Campbell
Module Name:src Committed By: riastradh Date: Sat Jun 12 12:11:28 UTC 2021 Modified Files: src/sys/dev/usb: usb_subr.c Log Message: usb(4): Verify dev->ud_subdevs is still there before freeing it. usbd_attachinterfaces may sleep, and if it does, it releases the kernel

CVS commit: src/sys/dev/usb

2021-06-12 Thread Taylor R Campbell
Module Name:src Committed By: riastradh Date: Sat Jun 12 12:11:11 UTC 2021 Modified Files: src/sys/dev/usb: usb_subr.c Log Message: usb(4): kmem_zalloc(KM_SLEEP) cannot fail; nix error branch. To generate a diff of this commit: cvs rdiff -u -r1.250 -r1.251

CVS commit: src/sys/dev/usb

2021-06-12 Thread Taylor R Campbell
Module Name:src Committed By: riastradh Date: Sat Jun 12 12:11:01 UTC 2021 Modified Files: src/sys/dev/usb: uhub.c Log Message: uhub(4): Allow only one explore/rescan at a time. Otherwise we might simultaneously attach two autoconf instances of the same device, which

CVS commit: src/sys/dev/usb

2021-06-06 Thread Jaromir Dolecek
Module Name:src Committed By: jdolecek Date: Sun Jun 6 18:37:20 UTC 2021 Modified Files: src/sys/dev/usb: xhci.c Log Message: also reset xs->xs_xr[dci] to NULL when closing the pipe avoids KASSERT() on next xhci_open() should fix PR kern/56194 by nia To generate a

CVS commit: src/sys/dev/usb

2021-05-29 Thread Taylor R Campbell
Module Name:src Committed By: riastradh Date: Sat May 29 16:49:30 UTC 2021 Modified Files: src/sys/dev/usb: xhci.c Log Message: xhci(4): Wait USB_RESUME_WAIT ms, not 20 ms. Better to use the named constant, and although the spec says 20 ms is enough, apparently for some

CVS commit: src/sys/dev/usb

2021-05-29 Thread Taylor R Campbell
Module Name:src Committed By: riastradh Date: Sat May 29 08:45:19 UTC 2021 Modified Files: src/sys/dev/usb: ualea.c Log Message: ualea(4): Null suspend/resume handler. To generate a diff of this commit: cvs rdiff -u -r1.12 -r1.13 src/sys/dev/usb/ualea.c Please note

CVS commit: src/sys/dev/usb

2021-05-27 Thread Nick Hudson
Module Name:src Committed By: skrll Date: Thu May 27 11:09:15 UTC 2021 Modified Files: src/sys/dev/usb: xhci.c Log Message: Fix error handling botch in 1.138. Thanks to jmcneill@ for spotting it. To generate a diff of this commit: cvs rdiff -u -r1.141 -r1.142

CVS commit: src/sys/dev/usb

2021-05-27 Thread Jared D. McNeill
Module Name:src Committed By: jmcneill Date: Thu May 27 10:44:29 UTC 2021 Modified Files: src/sys/dev/usb: usb_mem.c Log Message: Honour USBMALLOC_ZERO when reusing blocks from the freelist. >From sc.dying on tech-kern To generate a diff of this commit: cvs rdiff -u

CVS commit: src/sys/dev/usb

2021-05-26 Thread Taylor R Campbell
Module Name:src Committed By: riastradh Date: Wed May 26 22:37:21 UTC 2021 Modified Files: src/sys/dev/usb: xhci.c Log Message: xhci: Fix logic in waiting for command queue access. _Either_ an existing command in progress, _or_ an existing suspend in progress that is not

CVS commit: src/sys/dev/usb

2021-05-23 Thread Taylor R Campbell
Module Name:src Committed By: riastradh Date: Sun May 23 21:12:29 UTC 2021 Modified Files: src/sys/dev/usb: xhci.c xhcivar.h Log Message: xhci(4): Block commands and issue Stop Endpoint on suspend. To generate a diff of this commit: cvs rdiff -u -r1.139 -r1.140

CVS commit: src/sys/dev/usb

2021-05-23 Thread Taylor R Campbell
Module Name:src Committed By: riastradh Date: Sun May 23 11:49:45 UTC 2021 Modified Files: src/sys/dev/usb: xhci.c xhcireg.h xhcivar.h Log Message: xhci(4): Draft suspend/resume. Work almost entirely done and tested by maya@ based on xhci 1.2 spec; tidied up and tweaked

CVS commit: src/sys/dev/usb

2021-05-23 Thread Taylor R Campbell
Module Name:src Committed By: riastradh Date: Sun May 23 08:42:32 UTC 2021 Modified Files: src/sys/dev/usb: umass_scsipi.c Log Message: umass(4): Use an empty function callback, not null pointer. This stupid bug, with an `XXX Broken!' comment right above, has been

CVS commit: src/sys/dev/usb

2021-05-23 Thread Taylor R Campbell
Module Name:src Committed By: riastradh Date: Sun May 23 08:42:47 UTC 2021 Modified Files: src/sys/dev/usb: umass.c Log Message: umass(4): Assert that we got a cb up front. Avoids jump to zero waaay down the line where we've forgotten why we wanted to jump into

CVS commit: src/sys/dev/usb

2021-05-16 Thread Michael van Elst
Module Name:src Committed By: mlelstv Date: Sun May 16 09:17:23 UTC 2021 Modified Files: src/sys/dev/usb: uvideo.c Log Message: close pipe before freeing frame length array. To generate a diff of this commit: cvs rdiff -u -r1.59 -r1.60 src/sys/dev/usb/uvideo.c Please

CVS commit: src/sys/dev/usb

2021-04-24 Thread Rin Okuyama
Module Name:src Committed By: rin Date: Sun Apr 25 05:16:27 UTC 2021 Modified Files: src/sys/dev/usb: if_smsc.c Log Message: Sample # of RX packets as entropy source. To generate a diff of this commit: cvs rdiff -u -r1.69 -r1.70 src/sys/dev/usb/if_smsc.c Please note

CVS commit: src/sys/dev/usb

2021-04-24 Thread Rin Okuyama
Module Name:src Committed By: rin Date: Sun Apr 25 05:15:20 UTC 2021 Modified Files: src/sys/dev/usb: usbnet.c Log Message: Sample # of TX packets as entropy source. For RX packets, individual drivers need to be modified. To generate a diff of this commit: cvs rdiff -u

CVS commit: src/sys/dev/usb

2021-04-02 Thread Nick Hudson
Module Name:src Committed By: skrll Date: Fri Apr 2 09:27:44 UTC 2021 Modified Files: src/sys/dev/usb: if_udav.c Log Message: Call usbnet_attach before usbnet_{lock_core,busy} kern/56090: panic in udav_attach To generate a diff of this commit: cvs rdiff -u -r1.77

CVS commit: src/sys/dev/usb

2021-03-15 Thread Nia Alarie
Module Name:src Committed By: nia Date: Mon Mar 15 07:30:40 UTC 2021 Modified Files: src/sys/dev/usb: usbdevs.h usbdevs_data.h Log Message: regen To generate a diff of this commit: cvs rdiff -u -r1.781 -r1.782 src/sys/dev/usb/usbdevs.h \

CVS commit: src/sys/dev/usb

2021-03-15 Thread Nia Alarie
Module Name:src Committed By: nia Date: Mon Mar 15 07:29:27 UTC 2021 Modified Files: src/sys/dev/usb: usbdevs Log Message: correct usb device id for BELKIN F5D7050E matches freebsd / openbsd (sources of urtw driver), various online sources PR kern/56056 To generate a

CVS commit: src/sys/dev/usb

2021-03-09 Thread Ryo Shimizu
Module Name:src Committed By: ryo Date: Tue Mar 9 16:38:49 UTC 2021 Modified Files: src/sys/dev/usb: usbdevs Log Message: Add ASUSTEK AURALED To generate a diff of this commit: cvs rdiff -u -r1.791 -r1.792 src/sys/dev/usb/usbdevs Please note that diffs are not public

CVS commit: src/sys/dev/usb

2021-03-09 Thread Ryo Shimizu
Module Name:src Committed By: ryo Date: Tue Mar 9 16:37:24 UTC 2021 Modified Files: src/sys/dev/usb: usbdevs Log Message: Add CoolerMaster, and AMD SR4 lamplight Control device (Wraith Prism RGB CPU Cooler) To generate a diff of this commit: cvs rdiff -u -r1.790

CVS commit: src/sys/dev/usb

2021-03-02 Thread Nathanial Sloss
Module Name:src Committed By: nat Date: Tue Mar 2 22:21:38 UTC 2021 Modified Files: src/sys/dev/usb: if_urtwn.c Log Message: OppsRemove irrelavent debug code and don't free_m before IFQ_DEQUEUE(). Identified and reported by Patrick Welche and remedy found by Martin

CVS commit: src/sys/dev/usb

2021-03-01 Thread Greg Troxel
Module Name:src Committed By: gdt Date: Tue Mar 2 00:18:22 UTC 2021 Modified Files: src/sys/dev/usb: ukbd.c Log Message: ukbd: GC some 20 year old code (NFC) Long ago, code was improved to allow detaching keyboards that were the console, but the old commen and panic()

CVS commit: src/sys/dev/usb

2021-03-01 Thread Greg Troxel
Module Name:src Committed By: gdt Date: Tue Mar 2 00:01:27 UTC 2021 Modified Files: src/sys/dev/usb: ukbd.c Log Message: ukbd: Condition probe-time verbosity on USBVERBOSE Previously, this driver switched on more verbose messages based on DIAGNOSTIC. But, the messages

CVS commit: src/sys/dev/usb

2021-03-01 Thread matthew green
Module Name:src Committed By: mrg Date: Mon Mar 1 22:59:52 UTC 2021 Modified Files: src/sys/dev/usb: usbnet.h Log Message: note that "enum usbnet_ep un_ed" member is the wrong type, and should be "uByte un_ed", and fix when we version this next. noticed by martin@ To

CVS commit: src/sys/dev/usb

2021-03-01 Thread Jonathan A. Kollasch
Module Name:src Committed By: jakllsch Date: Mon Mar 1 17:41:44 UTC 2021 Modified Files: src/sys/dev/usb: usbnet.c Log Message: reduce aprint_error(9) abuse To generate a diff of this commit: cvs rdiff -u -r1.39 -r1.40 src/sys/dev/usb/usbnet.c Please note that diffs

CVS commit: src/sys/dev/usb

2021-03-01 Thread Jonathan A. Kollasch
Module Name:src Committed By: jakllsch Date: Mon Mar 1 17:41:00 UTC 2021 Modified Files: src/sys/dev/usb: if_axe.c Log Message: reduce aprint_error(9) abuse To generate a diff of this commit: cvs rdiff -u -r1.131 -r1.132 src/sys/dev/usb/if_axe.c Please note that diffs

CVS commit: src/sys/dev/usb

2021-02-25 Thread Nathanial Sloss
Module Name:src Committed By: nat Date: Fri Feb 26 01:38:44 UTC 2021 Modified Files: src/sys/dev/usb: if_urtwn.c Log Message: Also free assocated mbufs. To generate a diff of this commit: cvs rdiff -u -r1.94 -r1.95 src/sys/dev/usb/if_urtwn.c Please note that diffs are

CVS commit: src/sys/dev/usb

2021-02-23 Thread matthew green
Module Name:src Committed By: mrg Date: Wed Feb 24 01:46:57 UTC 2021 Modified Files: src/sys/dev/usb: usb.c Log Message: fix sparc build: db_expr_t is larger than a pointer. To generate a diff of this commit: cvs rdiff -u -r1.192 -r1.193 src/sys/dev/usb/usb.c Please

CVS commit: src/sys/dev/usb

2021-02-23 Thread matthew green
Module Name:src Committed By: mrg Date: Tue Feb 23 08:51:36 UTC 2021 Modified Files: src/sys/dev/usb: usb.c Log Message: fix the formating for 'show usbxferlist'. To generate a diff of this commit: cvs rdiff -u -r1.191 -r1.192 src/sys/dev/usb/usb.c Please note that

CVS commit: src/sys/dev/usb

2021-02-22 Thread matthew green
Module Name:src Committed By: mrg Date: Mon Feb 22 20:45:29 UTC 2021 Modified Files: src/sys/dev/usb: usb.c Log Message: fix formatting and db_usb_command_table[] static. idea from rillig@. To generate a diff of this commit: cvs rdiff -u -r1.189 -r1.190

CVS commit: src/sys/dev/usb

2021-02-21 Thread matthew green
Module Name:src Committed By: mrg Date: Sun Feb 21 23:06:39 UTC 2021 Modified Files: src/sys/dev/usb: usb.c Log Message: add ddb commands to inspect usb xfer and xferlist structures. To generate a diff of this commit: cvs rdiff -u -r1.188 -r1.189 src/sys/dev/usb/usb.c

CVS commit: src/sys/dev/usb

2021-02-21 Thread matthew green
Module Name:src Committed By: mrg Date: Sun Feb 21 23:06:13 UTC 2021 Modified Files: src/sys/dev/usb: if_urtwn.c Log Message: replace printf "ERROR" with device_printf() and useful messages. To generate a diff of this commit: cvs rdiff -u -r1.93 -r1.94

CVS commit: src/sys/dev/usb

2021-02-21 Thread Martin Husemann
Module Name:src Committed By: martin Date: Sun Feb 21 12:36:39 UTC 2021 Modified Files: src/sys/dev/usb: usb_quirks.c Log Message: Add a bunch of USB loader devices for Freescale SoC that should not attach as HID devices. To generate a diff of this commit: cvs rdiff -u

CVS commit: src/sys/dev/usb

2021-02-16 Thread Michael van Elst
Module Name:src Committed By: mlelstv Date: Wed Feb 17 06:30:57 UTC 2021 Modified Files: src/sys/dev/usb: usb_subr.c Log Message: Expose more descriptor items as device properties. To generate a diff of this commit: cvs rdiff -u -r1.248 -r1.249

CVS commit: src/sys/dev/usb

2021-02-15 Thread Tetsuya Isaki
Module Name:src Committed By: isaki Date: Mon Feb 15 13:39:18 UTC 2021 Modified Files: src/sys/dev/usb: uaudio.c Log Message: Fix my copy-and-paste bug in rev1.160. This fixes recording sample dropout. To generate a diff of this commit: cvs rdiff -u -r1.168 -r1.169

CVS commit: src/sys/dev/usb

2021-02-03 Thread Jason R Thorpe
Module Name:src Committed By: thorpej Date: Wed Feb 3 23:26:08 UTC 2021 Modified Files: src/sys/dev/usb: ums.c Log Message: I have a cheap USB optical mouse (CHICONY product 0x0939, also known as "PixArt USB Optical Mouse") that likes to disconnect after 60 seconds and

CVS commit: src/sys/dev/usb

2021-02-03 Thread Jason R Thorpe
Module Name:src Committed By: thorpej Date: Wed Feb 3 23:24:08 UTC 2021 Modified Files: src/sys/dev/usb: usbdevs.h usbdevs_data.h Log Message: Regen for addition of CHICONY optical mouse. To generate a diff of this commit: cvs rdiff -u -r1.779 -r1.780

CVS commit: src/sys/dev/usb

2021-02-03 Thread Jason R Thorpe
Module Name:src Committed By: thorpej Date: Wed Feb 3 23:23:42 UTC 2021 Modified Files: src/sys/dev/usb: usbdevs Log Message: Add a CHICONY optical mouse product ID. To generate a diff of this commit: cvs rdiff -u -r1.789 -r1.790 src/sys/dev/usb/usbdevs Please note

CVS commit: src/sys/dev/usb

2021-02-02 Thread YAMAMOTO Takashi
Module Name:src Committed By: yamt Date: Tue Feb 2 10:46:18 UTC 2021 Modified Files: src/sys/dev/usb: if_urtwn.c Log Message: if_urtwn.c: Plug a few leaks Can be a cause of PR/55968 To generate a diff of this commit: cvs rdiff -u -r1.92 -r1.93

CVS commit: src/sys/dev/usb

2021-02-01 Thread YAMAMOTO Takashi
Module Name:src Committed By: yamt Date: Tue Feb 2 00:27:38 UTC 2021 Modified Files: src/sys/dev/usb: if_urtwn.c Log Message: if_urtwn: Add a missing newline to an aprint_error_dev message To generate a diff of this commit: cvs rdiff -u -r1.91 -r1.92

CVS commit: src/sys/dev/usb

2021-01-31 Thread Taylor R Campbell
Module Name:src Committed By: riastradh Date: Mon Feb 1 06:59:37 UTC 2021 Modified Files: src/sys/dev/usb: if_urtwn.c Log Message: urtwn(4): Check for allocation failure in urtwn_tx_beacon. Candidate fix for PR kern/55968. To generate a diff of this commit: cvs rdiff

CVS commit: src/sys/dev/usb

2021-01-31 Thread Nia Alarie
Module Name:src Committed By: nia Date: Mon Feb 1 04:49:02 UTC 2021 Modified Files: src/sys/dev/usb: usbdevs.h usbdevs_data.h Log Message: regen To generate a diff of this commit: cvs rdiff -u -r1.778 -r1.779 src/sys/dev/usb/usbdevs.h \

CVS commit: src/sys/dev/usb

2021-01-31 Thread Nia Alarie
Module Name:src Committed By: nia Date: Mon Feb 1 04:46:33 UTC 2021 Modified Files: src/sys/dev/usb: if_urtwn.c usbdevs Log Message: add another TPLINK RTL8192EU variant to avoid confusion use TP-Link's names for these variants, matching FreeBSD To generate a diff of

CVS commit: src/sys/dev/usb

2021-01-31 Thread Nia Alarie
Module Name:src Committed By: nia Date: Sun Jan 31 18:11:44 UTC 2021 Modified Files: src/sys/dev/usb: usbdevs.h usbdevs_data.h Log Message: regen To generate a diff of this commit: cvs rdiff -u -r1.777 -r1.778 src/sys/dev/usb/usbdevs.h \

CVS commit: src/sys/dev/usb

2021-01-31 Thread Nia Alarie
Module Name:src Committed By: nia Date: Sun Jan 31 18:05:38 UTC 2021 Modified Files: src/sys/dev/usb: if_urtwn.c usbdevs Log Message: urtwn(4): add TPLINK WN821N to the list of USB device ids reported by kfmut on the unitedbsd forums. To generate a diff of this commit:

CVS commit: src/sys/dev/usb

2021-01-20 Thread Jaromir Dolecek
Module Name:src Committed By: jdolecek Date: Wed Jan 20 22:46:33 UTC 2021 Modified Files: src/sys/dev/usb: umidi.c Log Message: fix free_all_endpoints() to not try calling free_pipe() when no endpoints are allocated; this can happen during config_detach() after attach

CVS commit: src/sys/dev/usb

2021-01-10 Thread Ryo ONODERA
Module Name:src Committed By: ryoon Date: Sun Jan 10 15:50:16 UTC 2021 Modified Files: src/sys/dev/usb: uaudio.c Log Message: Add whitespace after comma To generate a diff of this commit: cvs rdiff -u -r1.167 -r1.168 src/sys/dev/usb/uaudio.c Please note that diffs are

CVS commit: src/sys/dev/usb

2021-01-10 Thread Ryo ONODERA
Module Name:src Committed By: ryoon Date: Sun Jan 10 13:17:44 UTC 2021 Modified Files: src/sys/dev/usb: uaudio.c Log Message: Fix a typo in debug message To generate a diff of this commit: cvs rdiff -u -r1.166 -r1.167 src/sys/dev/usb/uaudio.c Please note that diffs are

CVS commit: src/sys/dev/usb

2021-01-05 Thread Nick Hudson
Module Name:src Committed By: skrll Date: Tue Jan 5 18:00:21 UTC 2021 Modified Files: src/sys/dev/usb: ehci.c ohci.c uhci.c usb_mem.c usb_mem.h xhci.c Log Message: More converstion from usbd_status to int for function error reporting. This time it's the turn of

CVS commit: src/sys/dev/usb

2021-01-05 Thread Nick Hudson
Module Name:src Committed By: skrll Date: Tue Jan 5 16:15:09 UTC 2021 Modified Files: src/sys/dev/usb: usb_mem.c Log Message: Replace home grown #ifdef DIAGNOSTIC check with ASSERT_SLEEPABLE To generate a diff of this commit: cvs rdiff -u -r1.78 -r1.79

CVS commit: src/sys/dev/usb

2021-01-02 Thread Jared D. McNeill
Module Name:src Committed By: jmcneill Date: Sat Jan 2 12:39:33 UTC 2021 Modified Files: src/sys/dev/usb: xhci.c Log Message: Use USBMALLOC_ZERO to ensure that all DMA memory is zero initialized. To generate a diff of this commit: cvs rdiff -u -r1.136 -r1.137

CVS commit: src/sys/dev/usb

2021-01-02 Thread Jared D. McNeill
Module Name:src Committed By: jmcneill Date: Sat Jan 2 12:39:03 UTC 2021 Modified Files: src/sys/dev/usb: usb_mem.c usb_mem.h Log Message: Add USBMALLOC_ZERO flag for requesting zero initialized DMA memory. To generate a diff of this commit: cvs rdiff -u -r1.77 -r1.78

CVS commit: src/sys/dev/usb

2020-12-29 Thread Julian Coleman
Module Name:src Committed By: jdc Date: Tue Dec 29 08:04:59 UTC 2020 Modified Files: src/sys/dev/usb: uaudio.c Log Message: During detach, re-use the functions that halt playback and record DMA. Prevents a panic during shutdown when media is playing. To generate a diff

CVS commit: src/sys/dev/usb

2020-12-26 Thread Jean-Yves Migeon
Module Name:src Committed By: jym Date: Sat Dec 26 22:15:37 UTC 2020 Modified Files: src/sys/dev/usb: usb_quirks.c Log Message: Add WayTech USB to Serial device to quirks routines, in order to prevent uhid(4) from attaching and leave it to ugen(4) so libusb can query it.

CVS commit: src/sys/dev/usb

2020-12-26 Thread Jean-Yves Migeon
Module Name:src Committed By: jym Date: Sat Dec 26 22:09:18 UTC 2020 Modified Files: src/sys/dev/usb: usbdevs Log Message: Add WayTech Development USB to Serial product. It is used by some UPS devices, notably Infosec and Megatec. The vendor ID (0x0665) is known

CVS commit: src/sys/dev/usb

2020-12-17 Thread Jason R Thorpe
Module Name:src Committed By: thorpej Date: Fri Dec 18 01:40:20 UTC 2020 Modified Files: src/sys/dev/usb: udsir.c ugen.c uhid.c uirda.c usb.c uscanner.c ustir.c Log Message: Use sel{record,remove}_knote(). To generate a diff of this commit: cvs rdiff -u -r1.11 -r1.12

CVS commit: src/sys/dev/usb

2020-12-10 Thread Nick Hudson
Module Name:src Committed By: skrll Date: Thu Dec 10 20:50:24 UTC 2020 Modified Files: src/sys/dev/usb: ohci.c Log Message: Another leading space To generate a diff of this commit: cvs rdiff -u -r1.312 -r1.313 src/sys/dev/usb/ohci.c Please note that diffs are not

  1   2   3   4   5   6   7   8   9   10   >