Module Name:    src
Committed By:   rillig
Date:           Sat Nov 20 17:27:46 UTC 2021

Modified Files:
        src/tests/usr.bin/xlint/lint1: d_c99_bool_strict.c lex_string.c

Log Message:
tests/lint: fix negation in explanation for strict bool mode


To generate a diff of this commit:
cvs rdiff -u -r1.34 -r1.35 src/tests/usr.bin/xlint/lint1/d_c99_bool_strict.c
cvs rdiff -u -r1.3 -r1.4 src/tests/usr.bin/xlint/lint1/lex_string.c

Please note that diffs are not public domain; they are subject to the
copyright notices on the relevant files.

Modified files:

Index: src/tests/usr.bin/xlint/lint1/d_c99_bool_strict.c
diff -u src/tests/usr.bin/xlint/lint1/d_c99_bool_strict.c:1.34 src/tests/usr.bin/xlint/lint1/d_c99_bool_strict.c:1.35
--- src/tests/usr.bin/xlint/lint1/d_c99_bool_strict.c:1.34	Tue Nov 16 21:01:06 2021
+++ src/tests/usr.bin/xlint/lint1/d_c99_bool_strict.c	Sat Nov 20 17:27:46 2021
@@ -1,4 +1,4 @@
-/*	$NetBSD: d_c99_bool_strict.c,v 1.34 2021/11/16 21:01:06 rillig Exp $	*/
+/*	$NetBSD: d_c99_bool_strict.c,v 1.35 2021/11/20 17:27:46 rillig Exp $	*/
 # 3 "d_c99_bool_strict.c"
 
 /*
@@ -816,9 +816,9 @@ controlling_expression(FILE *f, const ch
 
 	/*
 	 * Before tree.c 1.395 from 2021-11-16, the expression below didn't
-	 * produce a warning since the expression 'stdio_stdin' didn't come
-	 * from a system header (typically via a macro), and this property
-	 * was passed up to the expression 'ferror(stdio_stdin)'.
+	 * produce a warning since the expression 'stdio_files' came from a
+	 * system header (via a macro), and this property was passed up to
+	 * the expression 'ferror(stdio_files[1])'.
 	 *
 	 * That was wrong though since the type of a function call expression
 	 * only depends on the function itself but not its arguments types.

Index: src/tests/usr.bin/xlint/lint1/lex_string.c
diff -u src/tests/usr.bin/xlint/lint1/lex_string.c:1.3 src/tests/usr.bin/xlint/lint1/lex_string.c:1.4
--- src/tests/usr.bin/xlint/lint1/lex_string.c:1.3	Mon Aug 23 17:47:34 2021
+++ src/tests/usr.bin/xlint/lint1/lex_string.c	Sat Nov 20 17:27:46 2021
@@ -1,4 +1,4 @@
-/*	$NetBSD: lex_string.c,v 1.3 2021/08/23 17:47:34 rillig Exp $	*/
+/*	$NetBSD: lex_string.c,v 1.4 2021/11/20 17:27:46 rillig Exp $	*/
 # 3 "lex_string.c"
 
 /*
@@ -31,3 +31,6 @@ test(void)
 	/* expect+1: error: cannot concatenate wide and regular string literals [292] */
 	sink("plain" L"wide");
 }
+
+/* TODO: test digraphs inside string literals */
+/* TODO: test trigraphs inside string literals */

Reply via email to