Hi,

> Don't interrupt _come_ from hardware and go/are routed to
> hypervisor/os/app?
Yes they do, sorry, I reversed the order because I'm a newbie :) .

> Would you mind to explain what is the triple timer counter?
On this link on page 342 is explanation.

> This is not the official Xen repository and look like patches have been 
> applied on top. I am afraid, I am not going to be able help here. Could you 
> do the same experiment with Xen 4.11?

I think I have to get Xen from Xilinx because I use board that has
Zynq Ultrascale. Stefano sent branch with Xen 4.11 so I built with it.

> This could also means that wfi is not used by the guest or you never go to 
> the idle vCPU.
Right.

> This is definitely wrong. Can you please also post the full host device
> tree with your modifications that you are using for Xen and Dom0?  You
> should have something like:
>
>         timer@ff110000 {
>             compatible = "cdns,ttc";
>             interrupt-parent = <0x2>;
>             interrupts = <0x0 0x24 0x4 0x0 0x25 0x4 0x0 0x26 0x4>;
>             reg = <0x0 0xff110000 0x0 0x1000>;
>             timer-width = <0x20>;
>             power-domains = <0x3b>;
>             xen,passthrough;
>         };
> For each of the nodes of the devices you are assigning to the DomU.

I put
&ttc0 {
   xen,passthrough = <0x1>;
};
because when I was making bm app I was following this guide. Now I see
it's wrong. When I copied directly:
timer@ff110000 {
            compatible = "cdns,ttc";
            interrupt-parent = <0x2>;
            interrupts = <0x0 0x24 0x4 0x0 0x25 0x4 0x0 0x26 0x4>;
            reg = <0x0 0xff110000 0x0 0x1000>;
            timer-width = <0x20>;
            power-domains = <0x3b>;
            xen,passthrough;
        };
in to the xen-overlay.dtsi file it resulted an error during
device-tree build. I modified it a little bit so I can get successful
build, there are all device-tree files included in attachment. I'm not
sure how to set this passthrough properly, if you could take a look at
those files in attachment I'd be more then grateful.

> It's here: 
> https://github.com/Xilinx/xen/blob/xilinx/stable-4.9/xen/arch/arm/vgic.c#L462
Oh, about that. I sent you wrong branch, I was using Xen 4.10. Anyway
now I moved to Xen 4.11 like you suggested and applied your patch and
Dario's also.

Okay, now when I want to xl create my domU (bare-metal app) I get error:

Parsing config from timer.cfg
(XEN) IRQ 68 is already used by domain 0
libxl: error: libxl_create.c:1354:domcreate_launch_dm: Domain 1:failed
give domain access to irq 68: Device or resource busy
libxl: error: libxl_domain.c:1034:libxl__destroy_domid: Domain
1:Non-existant domain
libxl: error: libxl_domain.c:993:domain_destroy_callback: Domain
1:Unable to destroy guest
libxl: error: libxl_domain.c:920:domain_destroy_cb: Domain
1:Destruction of domain failed

I guess my modifications of:
timer@ff110000 {
            compatible = "cdns,ttc";
            interrupt-parent = <0x2>;
            interrupts = <0x0 0x24 0x4 0x0 0x25 0x4 0x0 0x26 0x4>;
            reg = <0x0 0xff110000 0x0 0x1000>;
            timer-width = <0x20>;
            power-domains = <0x3b>;
            xen,passthrough;
        };
are not correct. I tried to change interrupts to:
 interrupts = <0x0 0x44 0x4 0x0 0x45 0x4 0x0 0x46 0x4>;
because if you check here on page 310 interrupts for TTC0 are 68:70.
But that didn't work either I still get same error.

I also tried to change xen,passthrough; line with:
xen,passthrough = <0x1>;
but also without success, still the same error.

Are you sure about this line:
reg = <0x0 0xff110000 0x0 0x1000>;   ?
Or it should be like this?
 reg = <0x0 0xff110000 0x1000>;

I also included xl dmesg and dmesg in attachments (after xl create of bm app).

Thanks in advance!

Milan
FILESEXTRAPATHS_prepend := "${THISDIR}/files:"

SRC_URI += "file://system-user.dtsi"
SRC_URI += "file://xen-overlay.dtsi"
(XEN) Checking for initrd in /chosen
(XEN) Initrd 0000000002bd7000-0000000005fffd97
(XEN) RAM: 0000000000000000 - 000000007fefffff
(XEN)
(XEN) MODULE[0]: 0000000007ff4000 - 0000000007ffc080 Device Tree
(XEN) MODULE[1]: 0000000002bd7000 - 0000000005fffd97 Ramdisk
(XEN) MODULE[2]: 0000000000080000 - 0000000003180000 Kernel
(XEN)  RESVD[0]: 0000000007ff4000 - 0000000007ffc000
(XEN)  RESVD[1]: 0000000002bd7000 - 0000000005fffd97
(XEN)
(XEN) Command line: console=dtuart dtuart=serial0 dom0_mem=768M bootscrub=0 
dom0_max_vcpus=1 dom0_vcpus_pin=true timer_slop=0 sched=null vwfi=native
(XEN) Placing Xen at 0x000000007fc00000-0x000000007fe00000
(XEN) Update BOOTMOD_XEN from 0000000006000000-0000000006108d81 => 
000000007fc00000-000000007fd08d81
(XEN) Domain heap initialised
(XEN) Booting using Device Tree
(XEN) Looking for dtuart at "serial0", options ""
 Xen 4.11.1-pre
(XEN) Xen version 4.11.1-pre (milan@) (aarch64-xilinx-linux-gcc (GCC) 7.2.0) 
debug=n  Sat Oct 13 16:34:51 CEST 2018
(XEN) Latest ChangeSet: Mon Sep 24 16:07:33 2018 -0700 git:8610a91abc-dirty
(XEN) Processor: 410fd034: "ARM Limited", variant: 0x0, part 0xd03, rev 0x4
(XEN) 64-bit Execution:
(XEN)   Processor Features: 0000000000002222 0000000000000000
(XEN)     Exception Levels: EL3:64+32 EL2:64+32 EL1:64+32 EL0:64+32
(XEN)     Extensions: FloatingPoint AdvancedSIMD
(XEN)   Debug Features: 0000000010305106 0000000000000000
(XEN)   Auxiliary Features: 0000000000000000 0000000000000000
(XEN)   Memory Model Features: 0000000000001122 0000000000000000
(XEN)   ISA Features:  0000000000011120 0000000000000000
(XEN) 32-bit Execution:
(XEN)   Processor Features: 00000131:00011011
(XEN)     Instruction Sets: AArch32 A32 Thumb Thumb-2 Jazelle
(XEN)     Extensions: GenericTimer Security
(XEN)   Debug Features: 03010066
(XEN)   Auxiliary Features: 00000000
(XEN)   Memory Model Features: 10201105 40000000 01260000 02102211
(XEN)  ISA Features: 02101110 13112111 21232042 01112131 00011142 00011121
(XEN) Generic Timer IRQ: phys=30 hyp=26 virt=27 Freq: 99999 KHz
(XEN) GICv2 initialization:
(XEN)         gic_dist_addr=00000000f9010000
(XEN)         gic_cpu_addr=00000000f9020000
(XEN)         gic_hyp_addr=00000000f9040000
(XEN)         gic_vcpu_addr=00000000f9060000
(XEN)         gic_maintenance_irq=25
(XEN) GICv2: Adjusting CPU interface base to 0xf902f000
(XEN) GICv2: 192 lines, 4 cpus, secure (IID 0200143b).
(XEN) Using scheduler: null Scheduler (null)
(XEN) Initializing null scheduler
(XEN) WARNING: This is experimental software in development.
(XEN) Use at your own risk.
(XEN) Allocated console ring of 16 KiB.
(XEN) Bringing up CPU1
(XEN) Bringing up CPU2
(XEN) Bringing up CPU3
(XEN) Brought up 4 CPUs
(XEN) P2M: 40-bit IPA with 40-bit PA and 8-bit VMID
(XEN) P2M: 3 levels with order-1 root, VTCR 0x80023558
(XEN) I/O virtualisation enabled
(XEN)  - Dom0 mode: Relaxed
(XEN) Interrupt remapping enabled
(XEN) *** LOADING DOMAIN 0 ***
(XEN) Loading kernel from boot module @ 0000000000080000
(XEN) Loading ramdisk from boot module @ 0000000002bd7000
(XEN) Allocating 1:1 mappings totalling 768MB for dom0:
(XEN) BANK[0] 0x00000020000000-0x00000040000000 (512MB)
(XEN) BANK[1] 0x00000060000000-0x00000070000000 (256MB)
(XEN) Grant table range: 0x0000007fc00000-0x0000007fc40000
(XEN) Allocating PPI 16 for event channel interrupt
(XEN) Loading zImage from 0000000000080000 to 0000000020080000-0000000023180000
(XEN) Loading dom0 initrd from 0000000002bd7000 to 
0x0000000028200000-0x000000002b628d97
(XEN) Loading dom0 DTB to 0x0000000028000000-0x0000000028006f3a
(XEN) Initial low memory virq threshold set at 0x4000 pages.
(XEN) Std. Loglevel: Errors and warnings
(XEN) Guest Loglevel: Nothing (Rate-limited: Errors and warnings)
(XEN) *** Serial input -> DOM0 (type 'CTRL-a' three times to switch input to 
Xen)
(XEN) Freed 280kB init memory.
(XEN) d0v0: vGICD: unhandled word write 0xffffffff to ICACTIVER4
(XEN) d0v0: vGICD: unhandled word write 0xffffffff to ICACTIVER8
(XEN) d0v0: vGICD: unhandled word write 0xffffffff to ICACTIVER12
(XEN) d0v0: vGICD: unhandled word write 0xffffffff to ICACTIVER16
(XEN) d0v0: vGICD: unhandled word write 0xffffffff to ICACTIVER20
(XEN) d0v0: vGICD: unhandled word write 0xffffffff to ICACTIVER0
(XEN) IRQ 68 is already used by domain 0
/include/ "system-conf.dtsi"
/include/ "xen-overlay.dtsi"
/ {
};

&gem3 {
        status = "okay";
        local-mac-address = [00 0a 35 00 02 90];
        phy-mode = "rgmii-id";
        phy-handle = <&phy0>;
        phy0: phy@9 {
                reg = <0x9>;
                ti,rx-internal-delay = <0x5>;
                ti,tx-internal-delay = <0x5>;
                ti,fifo-depth = <0x1>;
        };
};

&i2c1 {
        status = "okay";
        clock-frequency = <400000>;

        i2cswitch@70 { /* U7 on UZ3EG SOM */
                compatible = "nxp,pca9542";
                #address-cells = <1>;
                #size-cells = <0>;
                reg = <0x70>;
                i2c@0 { /* i2c mw 70 0 1 */
                        #address-cells = <1>;
                        #size-cells = <0>;
                        reg = <0>;
                        /* IIC_EEPROM */
                        eeprom@51 { /* U5 on UZ3EG IOCC and U7 on the UZ7EV 
EVCC*/
                                compatible = "at,24c08";
                                reg = <0x51>;
                        };
                };
        };
};

&qspi {
        #address-cells = <1>;
        #size-cells = <0>;
        status = "okay";
        is-dual = <1>; /* Set for dual-parallel QSPI config */
        num-cs = <2>;
        xlnx,fb-clk = <0x1>;
        flash0: flash@0 {
        /* The Flash described below doesn't match our board 
("micron,n25qu256a"), but is needed */
        /* so the Flash MTD partitions are correctly identified in /proc/mtd */
                compatible = "micron,m25p80"; /* 32MB */
                #address-cells = <1>;
                #size-cells = <1>;
                reg = <0x0>;
                spi-tx-bus-width = <1>;
                spi-rx-bus-width = <4>; /* FIXME also DUAL configuration 
possible */
                spi-max-frequency = <108000000>; /* Set to 108000000 Based on 
DC1 spec */
        };
};

/* SD0 eMMC, 8-bit wide data bus */
&sdhci0 {
        status = "okay";
        bus-width = <8>;
        max-frequency = <50000000>;
};

/* SD1 with level shifter */
&sdhci1 {
        status = "okay";
        max-frequency = <50000000>;
        no-1-8-v;       /* for 1.0 silicon */
};

/* ULPI SMSC USB3320 */
&usb0 {
        status = "okay";
};

&dwc3_0 {
        status = "okay";
        dr_mode = "host";
        phy-names = "usb3-phy";
};
[    0.000000] Booting Linux on physical CPU 0x0
[    0.000000] Linux version 4.14.0-xilinx-v2018.2 (oe-user@oe-host) (gcc 
version 7.2.0 (GCC)) #1 SMP Sat Oct 13 16:46:47 CEST 2018
[    0.000000] Boot CPU: AArch64 Processor [410fd034]
[    0.000000] Machine model: xlnx,zynqmp
[    0.000000] Xen 4.11 support found
[    0.000000] efi: Getting EFI parameters from FDT:
[    0.000000] efi: UEFI not found.
[    0.000000] cma: Reserved 256 MiB at 0x0000000060000000
[    0.000000] On node 0 totalpages: 196608
[    0.000000]   DMA zone: 2688 pages used for memmap
[    0.000000]   DMA zone: 0 pages reserved
[    0.000000]   DMA zone: 196608 pages, LIFO batch:31
[    0.000000] psci: probing for conduit method from DT.
[    0.000000] psci: PSCIv1.1 detected in firmware.
[    0.000000] psci: Using standard PSCI v0.2 function IDs
[    0.000000] psci: Trusted OS migration not required
[    0.000000] random: fast init done
[    0.000000] percpu: Embedded 21 pages/cpu @ffffffc03ffb7000 s46488 r8192 
d31336 u86016
[    0.000000] pcpu-alloc: s46488 r8192 d31336 u86016 alloc=21*4096
[    0.000000] pcpu-alloc: [0] 0
[    0.000000] Detected VIPT I-cache on CPU0
[    0.000000] CPU features: enabling workaround for ARM erratum 845719
[    0.000000] Built 1 zonelists, mobility grouping on.  Total pages: 193920
[    0.000000] Kernel command line: console=hvc0 earlycon=xen earlyprintk=xen 
maxcpus=1 clk_ignore_unused
[    0.000000] PID hash table entries: 4096 (order: 3, 32768 bytes)
[    0.000000] Dentry cache hash table entries: 131072 (order: 8, 1048576 bytes)
[    0.000000] Inode-cache hash table entries: 65536 (order: 7, 524288 bytes)
[    0.000000] Memory: 423788K/786432K available (9980K kernel code, 644K 
rwdata, 3132K rodata, 512K init, 2168K bss, 100500K reserved, 262144K 
cma-reserved)
[    0.000000] Virtual kernel memory layout:
[    0.000000]     modules : 0xffffff8000000000 - 0xffffff8008000000   (   128 
MB)
[    0.000000]     vmalloc : 0xffffff8008000000 - 0xffffffbebfff0000   (   250 
GB)
[    0.000000]       .text : 0xffffff8008080000 - 0xffffff8008a40000   (  9984 
KB)
[    0.000000]     .rodata : 0xffffff8008a40000 - 0xffffff8008d60000   (  3200 
KB)
[    0.000000]       .init : 0xffffff8008d60000 - 0xffffff8008de0000   (   512 
KB)
[    0.000000]       .data : 0xffffff8008de0000 - 0xffffff8008e81200   (   645 
KB)
[    0.000000]        .bss : 0xffffff8008e81200 - 0xffffff800909f2b0   (  2169 
KB)
[    0.000000]     fixed   : 0xffffffbefe7fd000 - 0xffffffbefec00000   (  4108 
KB)
[    0.000000]     PCI I/O : 0xffffffbefee00000 - 0xffffffbeffe00000   (    16 
MB)
[    0.000000]     vmemmap : 0xffffffbf00000000 - 0xffffffc000000000   (     4 
GB maximum)
[    0.000000]               0xffffffbf00700000 - 0xffffffbf01880000   (    17 
MB actual)
[    0.000000]     memory  : 0xffffffc020000000 - 0xffffffc070000000   (  1280 
MB)
[    0.000000] Hierarchical RCU implementation.
[    0.000000]  RCU event tracing is enabled.
[    0.000000]  RCU restricting CPUs from NR_CPUS=8 to nr_cpu_ids=1.
[    0.000000] RCU: Adjusting geometry for rcu_fanout_leaf=16, nr_cpu_ids=1
[    0.000000] NR_IRQS: 64, nr_irqs: 64, preallocated irqs: 0
[    0.000000] arch_timer: cp15 timer(s) running at 99.99MHz (virt).
[    0.000000] clocksource: arch_sys_counter: mask: 0xffffffffffffff 
max_cycles: 0x171015c90f, max_idle_ns: 440795203080 ns
[    0.000003] sched_clock: 56 bits at 99MHz, resolution 10ns, wraps every 
4398046511101ns
[    0.000292] Console: colour dummy device 80x25
[    0.283133] console [hvc0] enabled
[    0.286605] Calibrating delay loop (skipped), value calculated using timer 
frequency.. 199.99 BogoMIPS (lpj=399996)
[    0.297061] pid_max: default: 32768 minimum: 301
[    0.301820] Mount-cache hash table entries: 2048 (order: 2, 16384 bytes)
[    0.308486] Mountpoint-cache hash table entries: 2048 (order: 2, 16384 bytes)
[    0.316412] ASID allocator initialised with 65536 entries
[    0.321590] xen:grant_table: Grant tables using version 1 layout
[    0.327185] Grant table initialized
[    0.330729] xen:events: Using FIFO-based ABI
[    0.335054] Xen: initializing cpu0
[    0.338560] Hierarchical SRCU implementation.
[    0.343223] EFI services will not be available.
[    0.347515] zynqmp_plat_init Platform Management API v1.0
[    0.352945] zynqmp_plat_init Trustzone version v1.0
[    0.357920] smp: Bringing up secondary CPUs ...
[    0.362458] smp: Brought up 1 node, 1 CPU
[    0.366523] SMP: Total of 1 processors activated.
[    0.371282] CPU features: detected feature: 32-bit EL0 Support
[    0.377166] CPU: All CPU(s) started at EL1
[    0.381323] alternatives: patching kernel code
[    0.386227] devtmpfs: initialized
[    0.392895] clocksource: jiffies: mask: 0xffffffff max_cycles: 0xffffffff, 
max_idle_ns: 7645041785100000 ns
[    0.398968] futex hash table entries: 256 (order: 3, 32768 bytes)
[    0.411050] xor: measuring software checksum speed
[    0.449286]    8regs     :  2111.000 MB/sec
[    0.489344]    8regs_prefetch:  1882.000 MB/sec
[    0.529400]    32regs    :  2594.000 MB/sec
[    0.569460]    32regs_prefetch:  2183.000 MB/sec
[    0.569498] xor: using function: 32regs (2594.000 MB/sec)
[    0.574058] pinctrl core: initialized pinctrl subsystem
[    0.580529] NET: Registered protocol family 16
[    0.585122] vdso: 2 pages (1 code @ ffffff8008a46000, 1 data @ 
ffffff8008de4000)
[    0.591204] hw-breakpoint: found 6 breakpoint and 4 watchpoint registers.
[    0.599068] DMA: preallocated 256 KiB pool for atomic allocations
[    0.604234] xen:swiotlb_xen: Warning: only able to allocate 4 MB for 
software IO TLB
[    0.613084] software IO TLB [mem 0x3d400000-0x3d800000] (4MB) mapped at 
[ffffffc03d400000-ffffffc03d7fffff]
[    0.654723] reset_zynqmp reset-controller: Xilinx zynqmp reset driver probed
[    0.656706] ARM CCI_400_r1 PMU driver probed
[    0.661969] zynqmp-pinctrl ff180000.pinctrl: zynqmp pinctrl initialized
[    0.698018] HugeTLB registered 2.00 MiB page size, pre-allocated 0 pages
[    0.763759] raid6: int64x1  gen()   368 MB/s
[    0.831857] raid6: int64x1  xor()   407 MB/s
[    0.900045] raid6: int64x2  gen()   631 MB/s
[    0.968092] raid6: int64x2  xor()   552 MB/s
[    1.036264] raid6: int64x4  gen()   957 MB/s
[    1.104340] raid6: int64x4  xor()   680 MB/s
[    1.172461] raid6: int64x8  gen()   898 MB/s
[    1.240574] raid6: int64x8  xor()   683 MB/s
[    1.308717] raid6: neonx1   gen()   666 MB/s
[    1.376784] raid6: neonx1   xor()   781 MB/s
[    1.444907] raid6: neonx2   gen()  1071 MB/s
[    1.513024] raid6: neonx2   xor()  1103 MB/s
[    1.581154] raid6: neonx4   gen()  1377 MB/s
[    1.649254] raid6: neonx4   xor()  1317 MB/s
[    1.717400] raid6: neonx8   gen()  1511 MB/s
[    1.785484] raid6: neonx8   xor()  1398 MB/s
[    1.785520] raid6: using algorithm neonx8 gen() 1511 MB/s
[    1.789652] raid6: .... xor() 1398 MB/s, rmw enabled
[    1.794668] raid6: using neon recovery algorithm
[    1.800596] XGpio: /amba_pl@0/gpio@80000000: registered, base is 504
[    1.806570] XGpio: /amba_pl@0/gpio@80001000: registered, base is 496
[    1.812521] XGpio: /amba_pl@0/gpio@80002000: registered, base is 493
[    1.818944] xen:balloon: Initialising balloon driver
[    1.823976] SCSI subsystem initialized
[    1.827395] libata version 3.00 loaded.
[    1.827524] usbcore: registered new interface driver usbfs
[    1.832932] usbcore: registered new interface driver hub
[    1.838288] usbcore: registered new device driver usb
[    1.843425] media: Linux media interface: v0.10
[    1.847972] Linux video capture interface: v2.00
[    1.852656] pps_core: LinuxPPS API ver. 1 registered
[    1.857641] pps_core: Software ver. 5.3.6 - Copyright 2005-2007 Rodolfo 
Giometti <giome...@linux.it>
[    1.866822] PTP clock support registered
[    1.870802] EDAC MC: Ver: 3.0.0
[    1.876358] zynqmp-ipi ff9905c0.mailbox: Probed ZynqMP IPI Mailbox driver.
[    1.881082] FPGA manager framework
[    1.884466] fpga-region fpga-full: FPGA Region probed
[    1.889558] Advanced Linux Sound Architecture Driver Initialized.
[    1.895877] Bluetooth: Core ver 2.22
[    1.899272] NET: Registered protocol family 31
[    1.903747] Bluetooth: HCI device and connection manager initialized
[    1.910150] Bluetooth: HCI socket layer initialized
[    1.915079] Bluetooth: L2CAP socket layer initialized
[    1.920192] Bluetooth: SCO socket layer initialized
[    1.926965] clocksource: Switched to clocksource arch_sys_counter
[    1.931355] VFS: Disk quotas dquot_6.6.0
[    1.935279] VFS: Dquot-cache hash table entries: 512 (order 0, 4096 bytes)
[    1.949778] NET: Registered protocol family 2
[    1.950164] TCP established hash table entries: 8192 (order: 4, 65536 bytes)
[    1.955759] TCP bind hash table entries: 8192 (order: 5, 131072 bytes)
[    1.962399] TCP: Hash tables configured (established 8192 bind 8192)
[    1.968742] UDP hash table entries: 512 (order: 2, 16384 bytes)
[    1.974656] UDP-Lite hash table entries: 512 (order: 2, 16384 bytes)
[    1.981131] NET: Registered protocol family 1
[    1.986362] RPC: Registered named UNIX socket transport module.
[    1.991422] RPC: Registered udp transport module.
[    1.996174] RPC: Registered tcp transport module.
[    2.000930] RPC: Registered tcp NFSv4.1 backchannel transport module.
[    2.007422] PCI: CLS 0 bytes, default 128
[    2.007535] Trying to unpack rootfs image as initramfs...
[    4.321785] Freeing initrd memory: 53408K
[    4.322750] audit: initializing netlink subsys (disabled)
[    4.326591] audit: type=2000 audit(4.088:1): state=initialized 
audit_enabled=0 res=1
[    4.333667] workingset: timestamp_bits=62 max_order=18 bucket_order=0
[    4.340758] NFS: Registering the id_resolver key type
[    4.345125] Key type id_resolver registered
[    4.349334] Key type id_legacy registered
[    4.353405] nfs4filelayout_init: NFSv4 File Layout Driver Registering...
[    4.360160] jffs2: version 2.2. (NAND) (SUMMARY)  © 2001-2006 Red Hat, Inc.
[    4.396256] Block layer SCSI generic (bsg) driver version 0.4 loaded (major 
246)
[    4.398099] io scheduler noop registered
[    4.402093] io scheduler deadline registered
[    4.406423] io scheduler cfq registered (default)
[    4.411165] io scheduler mq-deadline registered
[    4.415748] io scheduler kyber registered
[    4.420808] OF: /amba/dma@fd500000: could not find phandle
[    4.425674] xilinx-zynqmp-dma fd500000.dma: ZynqMP DMA driver Probe success
[    4.432401] OF: /amba/dma@fd510000: could not find phandle
[    4.438018] xilinx-zynqmp-dma fd510000.dma: ZynqMP DMA driver Probe success
[    4.444937] OF: /amba/dma@fd520000: could not find phandle
[    4.450553] xilinx-zynqmp-dma fd520000.dma: ZynqMP DMA driver Probe success
[    4.457476] OF: /amba/dma@fd530000: could not find phandle
[    4.463098] xilinx-zynqmp-dma fd530000.dma: ZynqMP DMA driver Probe success
[    4.470018] OF: /amba/dma@fd540000: could not find phandle
[    4.475643] xilinx-zynqmp-dma fd540000.dma: ZynqMP DMA driver Probe success
[    4.482562] OF: /amba/dma@fd550000: could not find phandle
[    4.488181] xilinx-zynqmp-dma fd550000.dma: ZynqMP DMA driver Probe success
[    4.495104] OF: /amba/dma@fd560000: could not find phandle
[    4.500729] xilinx-zynqmp-dma fd560000.dma: ZynqMP DMA driver Probe success
[    4.507646] OF: /amba/dma@fd570000: could not find phandle
[    4.513264] xilinx-zynqmp-dma fd570000.dma: ZynqMP DMA driver Probe success
[    4.520382] xilinx-zynqmp-dma ffa80000.dma: ZynqMP DMA driver Probe success
[    4.527308] xilinx-zynqmp-dma ffa90000.dma: ZynqMP DMA driver Probe success
[    4.534315] xilinx-zynqmp-dma ffaa0000.dma: ZynqMP DMA driver Probe success
[    4.541323] xilinx-zynqmp-dma ffab0000.dma: ZynqMP DMA driver Probe success
[    4.548334] xilinx-zynqmp-dma ffac0000.dma: ZynqMP DMA driver Probe success
[    4.555345] xilinx-zynqmp-dma ffad0000.dma: ZynqMP DMA driver Probe success
[    4.562341] xilinx-zynqmp-dma ffae0000.dma: ZynqMP DMA driver Probe success
[    4.569352] xilinx-zynqmp-dma ffaf0000.dma: ZynqMP DMA driver Probe success
[    4.579373] xen:xen_evtchn: Event-channel device installed
[    4.633733] Serial: 8250/16550 driver, 4 ports, IRQ sharing disabled
[    4.638567] cacheinfo: Unable to detect cache hierarchy for CPU 0
[    4.647441] brd: module loaded
[    4.652005] loop: module loaded
[    4.652051] Invalid max_queues (4), will use default max: 1.
[    4.656058] OF: /amba/ahci@fd0c0000: could not find phandle
[    4.661248] ahci-ceva fd0c0000.ahci: AHCI 0001.0301 32 slots 2 ports 6 Gbps 
0x3 impl platform mode
[    4.669948] ahci-ceva fd0c0000.ahci: flags: 64bit ncq sntf pm clo only pmp 
fbs pio slum part ccc sds apst
[    4.681699] scsi host0: ahci-ceva
[    4.683322] scsi host1: ahci-ceva
[    4.686476] ata1: SATA max UDMA/133 mmio [mem 0xfd0c0000-0xfd0c1fff] port 
0x100 irq 32
[    4.694347] ata2: SATA max UDMA/133 mmio [mem 0xfd0c0000-0xfd0c1fff] port 
0x180 irq 32
[    4.702449] mtdoops: mtd device (mtddev=name/number) must be supplied
[    4.709110] OF: /amba/spi@ff0f0000: could not find phandle
[    4.715631] m25p80 spi0.0: found n25q256a, expected m25p80
[    4.720190] m25p80 spi0.0: n25q256a (65536 Kbytes)
[    4.724720] 3 ofpart partitions found on MTD device spi0.0
[    4.730232] Creating 3 MTD partitions on "spi0.0":
[    4.735080] 0x000000000000-0x000001360000 : "boot"
[    4.740454] 0x000001360000-0x0000013a0000 : "bootenv"
[    4.745604] 0x0000013a0000-0x000002aa0000 : "kernel"
[    4.751513] libphy: Fixed MDIO Bus: probed
[    4.755253] tun: Universal TUN/TAP device driver, 1.6
[    4.765845] CAN device driver interface
[    4.766294] OF: /amba/ethernet@ff0e0000: could not find phandle
[    4.770500] macb ff0e0000.ethernet: Not enabling partial store and forward
[    4.777550] libphy: MACB_mii_bus: probed
[    4.785639] macb ff0e0000.ethernet eth0: Cadence GEM rev 0x50070106 at 
0xff0e0000 irq 25 (00:0a:35:00:22:01)
[    4.790905] TI DP83867 ff0e0000.ethernet-ffffffff:09: attached PHY driver 
[TI DP83867] (mii_bus:phy_addr=ff0e0000.ethernet-ffffffff:09, irq=POLL)
[    4.804511] xen_netfront: Initialising Xen virtual ethernet driver
[    4.810247] usbcore: registered new interface driver asix
[    4.815667] usbcore: registered new interface driver ax88179_178a
[    4.821790] usbcore: registered new interface driver cdc_ether
[    4.827671] usbcore: registered new interface driver net1080
[    4.833380] usbcore: registered new interface driver cdc_subset
[    4.839349] usbcore: registered new interface driver zaurus
[    4.844982] usbcore: registered new interface driver cdc_ncm
[    4.851982] xilinx-axipmon ffa00000.perf-monitor: Probed Xilinx APM
[    4.857366] ehci_hcd: USB 2.0 'Enhanced' Host Controller (EHCI) Driver
[    4.863548] ehci-pci: EHCI PCI platform driver
[    4.868320] usbcore: registered new interface driver cdc_acm
[    4.873754] cdc_acm: USB Abstract Control Model driver for USB modems and 
ISDN adapters
[    4.881821] usbcore: registered new interface driver uas
[    4.887192] usbcore: registered new interface driver usb-storage
[    4.894503] rtc_zynqmp ffa60000.rtc: rtc core: registered ffa60000.rtc as 
rtc0
[    4.900540] i2c /dev entries driver
[    4.904780] cdns-i2c ff030000.i2c: 400 kHz mmio ff030000 irq 26
[    4.939386] i2c i2c-0: Added multiplexed i2c bus 1
[    4.939632] i2c i2c-0: Added multiplexed i2c bus 2
[    4.943487] pca954x 0-0070: registered 2 multiplexed busses for I2C mux 
pca9542
[    4.950915] IR NEC protocol handler initialized
[    4.955413] IR RC5(x/sz) protocol handler initialized
[    4.960514] IR RC6 protocol handler initialized
[    4.965099] IR JVC protocol handler initialized
[    4.969683] IR Sony protocol handler initialized
[    4.974354] IR SANYO protocol handler initialized
[    4.979112] IR Sharp protocol handler initialized
[    4.983869] IR MCE Keyboard/mouse protocol handler initialized
[    4.989752] IR XMP protocol handler initialized
[    4.995347] usbcore: registered new interface driver uvcvideo
[    5.000133] USB Video Class driver (1.1.1)
[    5.006325] cdns-wdt fd4d0000.watchdog: Xilinx Watchdog Timer at 
ffffff800917d000 with timeout 10s
[    5.013701] Bluetooth: HCI UART driver ver 2.3
[    5.017787] Bluetooth: HCI UART protocol H4 registered
[    5.022974] Bluetooth: HCI UART protocol BCSP registered
[    5.028352] Bluetooth: HCI UART protocol LL registered
[    5.033522] Bluetooth: HCI UART protocol ATH3K registered
[    5.038971] Bluetooth: HCI UART protocol Three-wire (H5) registered
[    5.045322] Bluetooth: HCI UART protocol Intel registered
[    5.050735] Bluetooth: HCI UART protocol QCA registered
[    5.056044] usbcore: registered new interface driver bcm203x
[    5.061747] usbcore: registered new interface driver bpa10x
[    5.067375] usbcore: registered new interface driver bfusb
[    5.072906] usbcore: registered new interface driver btusb
[    5.078416] Bluetooth: Generic Bluetooth SDIO driver ver 0.1
[    5.084170] usbcore: registered new interface driver ath3k
[    5.089787] EDAC MC: ECC not enabled
[    5.093448] EDAC DEVICE0: Giving out device to module zynqmp-ocm-edac 
controller zynqmp_ocm: DEV ff960000.memory-controller (INTERRUPT)
[    5.105762] cpu cpu0: failed to get clock: -2
[    5.109908] cpufreq-dt: probe of cpufreq-dt failed with error -2
[    5.116099] sdhci: Secure Digital Host Controller Interface driver
[    5.122185] sdhci: Copyright(c) Pierre Ossman
[    5.126595] sdhci-pltfm: SDHCI platform and OF driver helper
[    5.132412] OF: /amba/sdhci@ff160000: could not find phandle
[    5.138739] ata1: SATA link down (SStatus 0 SControl 330)
[    5.143510] ata2: SATA link down (SStatus 0 SControl 330)
[    5.194950] mmc0: SDHCI controller on ff160000.sdhci [ff160000.sdhci] using 
ADMA 64-bit
[    5.197446] PLL: shutdown
[    5.200175] PLL: enable
[    5.208319] OF: /amba/sdhci@ff170000: could not find phandle
[    5.250947] mmc1: SDHCI controller on ff170000.sdhci [ff170000.sdhci] using 
ADMA 64-bit
[    5.259333] ledtrig-cpu: registered to indicate activity on CPUs
[    5.259973] usbcore: registered new interface driver usbhid
[    5.265414] usbhid: USB HID core driver
[    5.278136] fpga_manager fpga0: Xilinx ZynqMP FPGA Manager registered
[    5.280501] pktgen: Packet Generator for packet performance testing. 
Version: 2.75
[    5.287288] Netfilter messages via NETLINK v0.30.
[    5.291520] ip_tables: (C) 2000-2006 Netfilter Core Team
[    5.296790] Initializing XFRM netlink socket
[    5.301139] NET: Registered protocol family 10
[    5.306112] Segment Routing with IPv6
[    5.309345] ip6_tables: (C) 2000-2006 Netfilter Core Team
[    5.314775] sit: IPv6, IPv4 and MPLS over IPv4 tunneling driver
[    5.321031] NET: Registered protocol family 17
[    5.325222] NET: Registered protocol family 15
[    5.329719] bridge: filtering via arp/ip/ip6tables is no longer available by 
default. Update your scripts to load br_netfilter if you need this.
[    5.342684] Ebtables v2.0 registered
[    5.351967] can: controller area network core (rev 20170425 abi 9)
[    5.352629] NET: Registered protocol family 29
[    5.357102] can: raw protocol (rev 20170425)
[    5.361421] can: broadcast manager protocol (rev 20170425 t)
[    5.367132] can: netlink gateway (rev 20170425) max_hops=1
[    5.372732] mmc0: new HS200 MMC card at address 0001
[    5.378006] mmcblk0: mmc0:0001 Q2J55L 7.09 GiB
[    5.382358] mmcblk0boot0: mmc0:0001 Q2J55L partition 1 16.0 MiB
[    5.388327] mmcblk0boot1: mmc0:0001 Q2J55L partition 2 16.0 MiB
[    5.394290] mmcblk0rpmb: mmc0:0001 Q2J55L partition 3 4.00 MiB
[    5.400284] Bluetooth: RFCOMM TTY layer initialized
[    5.405030] Bluetooth: RFCOMM socket layer initialized
[    5.410211] Bluetooth: RFCOMM ver 1.11
[    5.414021] Bluetooth: BNEP (Ethernet Emulation) ver 1.3
[    5.419375] Bluetooth: BNEP filters: protocol multicast
[    5.424654] Bluetooth: BNEP socket layer initialized
[    5.429668] Bluetooth: HIDP (Human Interface Emulation) ver 1.2
[    5.435639] Bluetooth: HIDP socket layer initialized
[    5.440781] 9pnet: Installing 9P2000 support
[    5.444994] Key type dns_resolver registered
[    5.450112] registered taskstats version 1
[    5.453894]  mmcblk0: p1
[    5.457626] Btrfs loaded, crc32c=crc32c-generic
[    5.467481] dwc3-of-simple ff9d0000.usb0: dwc3_simple_set_phydata: Can't 
find usb3-phy
[    5.470263] OF: /amba/usb0@ff9d0000/dwc3@fe200000: could not find phandle
[    5.477636] xhci-hcd xhci-hcd.0.auto: xHCI Host Controller
[    5.482254] xhci-hcd xhci-hcd.0.auto: new USB bus registered, assigned bus 
number 1
[    5.490311] xhci-hcd xhci-hcd.0.auto: hcc params 0x0238f625 hci version 
0x100 quirks 0x22010010
[    5.498728] xhci-hcd xhci-hcd.0.auto: irq 57, io mem 0xfe200000
[    5.504791] usb usb1: New USB device found, idVendor=1d6b, idProduct=0002
[    5.511481] usb usb1: New USB device strings: Mfr=3, Product=2, 
SerialNumber=1
[    5.518732] usb usb1: Product: xHCI Host Controller
[    5.523662] usb usb1: Manufacturer: Linux 4.14.0-xilinx-v2018.2 xhci-hcd
[    5.530409] usb usb1: SerialNumber: xhci-hcd.0.auto
[    5.536315] mmc1: new high speed SDHC card at address 1234
[    5.541191] hub 1-0:1.0: USB hub found
[    5.544816] mmcblk1: mmc1:1234 SA08G 7.21 GiB (ro)
[    5.549702] hub 1-0:1.0: 1 port detected
[    5.553875] xhci-hcd xhci-hcd.0.auto: xHCI Host Controller
[    5.559062] xhci-hcd xhci-hcd.0.auto: new USB bus registered, assigned bus 
number 2
[    5.566857]  mmcblk1: p1
[    5.569822] usb usb2: We don't know the algorithms for LPM for this host, 
disabling LPM.
[    5.577565] usb usb2: New USB device found, idVendor=1d6b, idProduct=0003
[    5.584306] usb usb2: New USB device strings: Mfr=3, Product=2, 
SerialNumber=1
[    5.591567] usb usb2: Product: xHCI Host Controller
[    5.596496] usb usb2: Manufacturer: Linux 4.14.0-xilinx-v2018.2 xhci-hcd
[    5.603243] usb usb2: SerialNumber: xhci-hcd.0.auto
[    5.608446] hub 2-0:1.0: USB hub found
[    5.612083] hub 2-0:1.0: 1 port detected
[    5.617068] rtc_zynqmp ffa60000.rtc: setting system clock to 1970-01-01 
00:00:24 UTC (24)
[    5.624286] clk: Not disabling unused clocks
[    5.628546] ALSA device list:
[    5.631527]   No soundcards found.
[    5.635749] Freeing unused kernel memory: 512K
[    5.715208] udevd[1716]: starting version 3.2.2
[    5.722808] udevd[1717]: starting eudev-3.2.2
[    6.759791] export_store: invalid GPIO 350
[    6.759904] blinky[1980]: unhandled level 2 translation fault (11) at 
0x00000000, esr 0x92000006, in libc-2.26.so[7fad112000+138000]
[    6.770323] CPU: 0 PID: 1980 Comm: blinky Not tainted 4.14.0-xilinx-v2018.2 
#1
[    6.777560] Hardware name: xlnx,zynqmp (DT)
[    6.781799] task: ffffffc03d355000 task.stack: ffffff800b318000
[    6.787768] PC is at 0x7fad173abc
[    6.791141] LR is at 0x400dfc
[    6.794161] pc : [<0000007fad173abc>] lr : [<0000000000400dfc>] pstate: 
60000000
[    6.801605] sp : 0000007fd208a760
[    6.804979] x29: 0000007fd208a760 x28: 0000000000000000
[    6.810342] x27: 0000000000000000 x26: 0000007fd208aedf
[    6.815705] x25: 0000007fd208a874 x24: 0000000000401140
[    6.821068] x23: 0000000000412000 x22: 0000000000000003
[    6.826431] x21: 0000000000000001 x20: 0000007fd208a7c8
[    6.831794] x19: 0000000000000000 x18: 0000007fd208a50d
[    6.837157] x17: 0000007fad173aa0 x16: 0000000000412058
[    6.842521] x15: 000000000000000a x14: 000000000000015e
[    6.847884] x13: 0000000000000000 x12: 0000000000000000
[    6.853247] x11: 0000000000000020 x10: 0000007fd208a510
[    6.858609] x9 : 0000000000000000 x8 : 0000000000000038
[    6.863973] x7 : 0000000000000000 x6 : 0000000000401126
[    6.869336] x5 : 0000000000000000 x4 : 000000002dddd260
[    6.874699] x3 : 0000000000000000 x2 : 0000000000000001
[    6.880062] x1 : 0000000000000001 x0 : 0000007fd208a7c8
[    7.081682] pps pps0: new PPS source ptp0
[    7.081741] macb ff0e0000.ethernet: gem-ptp-timer ptp clock registered.
[    7.086922] IPv6: ADDRCONF(NETDEV_UP): eth0: link is not ready
[    9.131228] macb ff0e0000.ethernet eth0: link up (1000/Full)
[    9.131354] IPv6: ADDRCONF(NETDEV_CHANGE): eth0: link becomes ready
[  339.162342] random: crng init done
/ {
        chosen {
                #address-cells = <2>;
                #size-cells = <1>;

                xen,xen-bootargs = "console=dtuart dtuart=serial0 dom0_mem=768M 
bootscrub=0 dom0_max_vcpus=1 dom0_vcpus_pin=true timer_slop=0 sched=null 
vwfi=native";
                xen,dom0-bootargs = "console=hvc0 earlycon=xen earlyprintk=xen 
maxcpus=1 clk_ignore_unused";

                dom0 {
                        compatible = "xen,linux-zimage", "xen,multiboot-module";
                        reg = <0x0 0x80000 0x3100000>;
                };
        };

};

&smmu {
        status = "okay";
        mmu-masters = < &gem0 0x874
                        &gem1 0x875
                        &gem2 0x876
                        &gem3 0x877
                        &dwc3_0 0x860
                        &dwc3_1 0x861
                        &qspi 0x873
                        &lpd_dma_chan1 0x868
                        &lpd_dma_chan2 0x869
                        &lpd_dma_chan3 0x86a
                        &lpd_dma_chan4 0x86b
                        &lpd_dma_chan5 0x86c
                        &lpd_dma_chan6 0x86d
                        &lpd_dma_chan7 0x86e
                        &lpd_dma_chan8 0x86f
                        &fpd_dma_chan1 0x14e8
                        &fpd_dma_chan2 0x14e9
                        &fpd_dma_chan3 0x14ea
                        &fpd_dma_chan4 0x14eb
                        &fpd_dma_chan5 0x14ec
                        &fpd_dma_chan6 0x14ed
                        &fpd_dma_chan7 0x14ee
                        &fpd_dma_chan8 0x14ef
                        &sdhci0 0x870
                        &sdhci1 0x871
                        &nand0 0x872>;
};

&ttc0 {
            ttc0@ff110000 {
                compatible = "cdns,ttc";
                interrupt-parent = <0x2>;
                interrupts = <0x0 0x44 0x4 0x0 0x45 0x4 0x0 0x46 0x4>;
                reg = <0x0 0xff110000 0x0 0x1000>;
                timer-width = <0x20>;
                power-domains = <0x3b>;
                xen,passthrough = <0x1>;
        };
};

&uart1 {
   xen,passthrough = <0x1>;
};

&gpio {
   xen,passthrough = <0x1>;
};
_______________________________________________
Xen-devel mailing list
Xen-devel@lists.xenproject.org
https://lists.xenproject.org/mailman/listinfo/xen-devel

Reply via email to