Re: [Elphel-support] how to dump code into camera

2014-08-13 Thread gaurav dasondhi
Hi

QUESTION

IN ISE WEBPACK DURING IMPLMENT DESIGN I GOT SOME ERRORS. DESIGN SUMMARY AND
ERRORS ARE GIVEN BLOW.

  Target Device:

xc3s1200e-5ft256

Product Version:

ISE 12.1

   - Warnings:

 836 Warnings (1 new) E:/x353original\_xmsgs/*.xmsgs?DataKey=Warning

   - Errors:

 X 6 Errors (2 new) E:/x353original\_xmsgs/*.xmsgs?DataKey=Error


I GOT THESE 6 EROORS PLEASE PROVIDE SOLUTION.


1.ERROR:LIT:241 - Attribute INIT on ROM32X1 instance
   i_compressor/i_quantizator/i_zigzag/i_z5 has a hexadecimal value,
   111011001000, which is too large. INIT should
contain a
   maximum of 32 bits.
ERROR:LIT:241 - Attribute INIT on ROM32X1 instance
   i_compressor/i_quantizator/i_zigzag/i_z4 has a hexadecimal value,
   10001100100110110110, which is too large. INIT should
contain a
   maximum of 32 bits.
ERROR:LIT:241 - Attribute INIT on ROM32X1 instance
   i_compressor/i_quantizator/i_zigzag/i_z3 has a hexadecimal value,
   01001010010011011000, which is too large. INIT should
contain a
   maximum of 32 bits.
ERROR:LIT:241 - Attribute INIT on ROM32X1 instance
   i_compressor/i_quantizator/i_zigzag/i_z2 has a hexadecimal value,
   011000110101001000100110, which is too large. INIT should
contain a
   maximum of 32 bits.
ERROR:LIT:239 - Attribute INIT on ROM32X1 instance
   i_compressor/i_quantizator/i_zigzag/i_z1 has an invalid hexadecimal
value,
   h611A7896.
ERROR:LIT:241 - Attribute INIT on ROM32X1 instance
   i_compressor/i_quantizator/i_zigzag/i_z0 has a hexadecimal value,
   11000110011100110001100111001100, which is too large. INIT should
contain a
   maximum of 32 bits.





On Tue, Aug 12, 2014 at 3:58 PM, gaurav dasondhi 
gauravdasondhi2...@gmail.com wrote:

 Dear oleg
clarify it : bad bitstream can cause losing connection or
 damaging the hardware. here damaging hardware means ??

   so if i used bad bitstream , then is there  any way to keep
 camera again to its default setting.?



 On Tue, Aug 12, 2014 at 1:03 AM, Oleg support-list@support.elphel.com
 wrote:

 Guarav,


 1. i want to perform correlation between two images ,i have a code
 written in verilog but how to dump that code into camera??

 If you have a single lens camera (NC353, NC353-... - meaning there's no
 10359 board installed that has an extra fpga) :

 The src verilog files for the system board fpga are here:
 http://elphel.cvs.sourceforge.net/viewvc/elphel/elphel353-8.0/fpga/x3x3/
 In short, you need to add your code to the project, but most likely it
 won't fit in the fpga or won't meet the timing the fpga on the system board
 is almost full.

 If you manage to create a bitstream - it should be called x353.bit and
 copied it to the camera /etc/ - after rebooting the fpga will be configured
 with a new bitstream.
 If the camera doesn't have the serial output port on the side (NC353-369)
 - it will be hard to debug possible problems - bad bitstream can cause
 losing connection or damaging the hardware.

 2. is iverilog 0.9.5-1 will work instead of 0.9.3 and is we need to
 install icraus verilog as we already have  ISE WEBPACK.??

  iverilog 0.9.5-1 should work - never tested though. For verilog
 verification you can use both ISE Webpack or Icarus Verilog + GTKWave.

  3. i installed mplayer by command line but as i typed : mplayer rtsp://
 192.168.0.9:554 -vo x11 -fs -zoom then camera is in working mode but we
 got blurred image??

 Blurred - compared to http://192.168.0.9:8081/bimg?



 *SO WHAT I DID IS IT OK OR I NEED TO INSTALL SOMETHING ELSE *

 For verilog:
   ISE Webpack, Icarus and GTKWave

 For the camera software:
   cross-compiler, get the camera firmware built from cvs
 http://wiki.elphel.com/index.php?title=Elphel_Software_Kit_for_Ubuntu#Build_Elphel_Software
  -
 then you can modify sources and rebuild it. KDevelop makes it easier to
 navigate through the project.
   Building the firmware requires to have the NFS server set up.

 ImageJ plugins are mostly for our calibrated/stereo/multi-sensor cameras.
 You can use them as a base to write your own plugin for correlation, for
 example.



 Best regards,
 Oleg Dzhimiev
 Electronics Engineer
 phone: +1 801 783  x124
 Elphel, Inc.



___
Support-list mailing list
Support-list@support.elphel.com
http://support.elphel.com/mailman/listinfo/support-list_support.elphel.com


Re: [Elphel-support] how to dump code into camera

2014-08-13 Thread Oleg
Hi,

Is it during synthesis or implementation?

I think the last time it was built it was ISE WebPack 9.1 or 10.1 - and was
ok. 14.7 looks like working as well. I check the Synthesis only.

You can try:
change all ROM32X1 instances:

 ROM32X1 i_z0 ( .A0(rom_a[0]), .A1(rom_a[1]), .A2(rom_a[2]), .A3(rom_a[3]),
 .A4(rom_a[4]), .O(rom_q[0]));
 ...
 defparam i_z0.INIT = 32'hC67319CC;

to:

 ROM32X1 (.INIT(32'hC67319CC)) i_z0 ( .A0(rom_a[0]), .A1(rom_a[1]),
 .A2(rom_a[2]), .A3(rom_a[3]), .A4(rom_a[4]), .O(rom_q[0]));




On 13 August 2014 02:25, gaurav dasondhi gauravdasondhi2...@gmail.com
wrote:

 Hi

 QUESTION

 IN ISE WEBPACK DURING IMPLMENT DESIGN I GOT SOME ERRORS. DESIGN SUMMARY
 AND ERRORS ARE GIVEN BLOW.

   Target Device:

 xc3s1200e-5ft256

 Product Version:

 ISE 12.1

- Warnings:

  836 Warnings (1 new)

- Errors:

  X 6 Errors (2 new)


 I GOT THESE 6 EROORS PLEASE PROVIDE SOLUTION.


 1.ERROR:LIT:241 - Attribute INIT on ROM32X1 instance
i_compressor/i_quantizator/i_zigzag/i_z5 has a hexadecimal value,
111011001000, which is too large. INIT should
 contain a
maximum of 32 bits.
 ERROR:LIT:241 - Attribute INIT on ROM32X1 instance
i_compressor/i_quantizator/i_zigzag/i_z4 has a hexadecimal value,
10001100100110110110, which is too large. INIT should
 contain a
maximum of 32 bits.
 ERROR:LIT:241 - Attribute INIT on ROM32X1 instance
i_compressor/i_quantizator/i_zigzag/i_z3 has a hexadecimal value,
01001010010011011000, which is too large. INIT should
 contain a
maximum of 32 bits.
 ERROR:LIT:241 - Attribute INIT on ROM32X1 instance
i_compressor/i_quantizator/i_zigzag/i_z2 has a hexadecimal value,
011000110101001000100110, which is too large. INIT should
 contain a
maximum of 32 bits.
 ERROR:LIT:239 - Attribute INIT on ROM32X1 instance
i_compressor/i_quantizator/i_zigzag/i_z1 has an invalid hexadecimal
 value,
h611A7896.
 ERROR:LIT:241 - Attribute INIT on ROM32X1 instance
i_compressor/i_quantizator/i_zigzag/i_z0 has a hexadecimal value,
11000110011100110001100111001100, which is too large. INIT should
 contain a
maximum of 32 bits.





 On Tue, Aug 12, 2014 at 3:58 PM, gaurav dasondhi 
 gauravdasondhi2...@gmail.com wrote:

 Dear oleg
clarify it : bad bitstream can cause losing connection or
 damaging the hardware. here damaging hardware means ??

   so if i used bad bitstream , then is there  any way to keep
 camera again to its default setting.?



 On Tue, Aug 12, 2014 at 1:03 AM, Oleg support-list@support.elphel.com
 wrote:

 Guarav,


 1. i want to perform correlation between two images ,i have a code
 written in verilog but how to dump that code into camera??

 If you have a single lens camera (NC353, NC353-... - meaning there's no
 10359 board installed that has an extra fpga) :

 The src verilog files for the system board fpga are here:
 http://elphel.cvs.sourceforge.net/viewvc/elphel/elphel353-8.0/fpga/x3x3/
 In short, you need to add your code to the project, but most likely it
 won't fit in the fpga or won't meet the timing the fpga on the system board
 is almost full.

 If you manage to create a bitstream - it should be called x353.bit and
 copied it to the camera /etc/ - after rebooting the fpga will be configured
 with a new bitstream.
 If the camera doesn't have the serial output port on the side
 (NC353-369) - it will be hard to debug possible problems - bad bitstream
 can cause losing connection or damaging the hardware.

 2. is iverilog 0.9.5-1 will work instead of 0.9.3 and is we need to
 install icraus verilog as we already have  ISE WEBPACK.??

  iverilog 0.9.5-1 should work - never tested though. For verilog
 verification you can use both ISE Webpack or Icarus Verilog + GTKWave.

  3. i installed mplayer by command line but as i typed : mplayer rtsp://
 192.168.0.9:554 -vo x11 -fs -zoom then camera is in working mode but
 we got blurred image??

 Blurred - compared to http://192.168.0.9:8081/bimg?



 *SO WHAT I DID IS IT OK OR I NEED TO INSTALL SOMETHING ELSE *

 For verilog:
   ISE Webpack, Icarus and GTKWave

 For the camera software:
   cross-compiler, get the camera firmware built from cvs
 http://wiki.elphel.com/index.php?title=Elphel_Software_Kit_for_Ubuntu#Build_Elphel_Software
  -
 then you can modify sources and rebuild it. KDevelop makes it easier to
 navigate through the project.
   Building the firmware requires to have the NFS server set up.

 ImageJ plugins are mostly for our calibrated/stereo/multi-sensor
 cameras. You can use them as a base to write your own plugin for
 correlation, for example.



 Best regards,
 Oleg Dzhimiev
 Electronics Engineer
 phone: +1 801 783  x124
 Elphel, Inc.




 ___
 Support-list mailing list
 Support-list@support.elphel.com
 http://support.elphel.com/mailman/listinfo/support-list_support.elphel.com




-- 
Best regards,
Oleg 

Re: [Elphel-support] how to dump code into camera

2014-08-13 Thread gaurav dasondhi
Hi

after synthesis i got some warnings as i mentioned in previous mail

*AFTER IMPLEMENT DESIGN: OUTPUT*

ERROR:LIT:241 - Attribute INIT on ROM32X1 instance
   i_compressor/i_quantizator/i_zigzag/i_z5 has a hexadecimal value,
   111011001000, which is too large. INIT should
contain a
   maximum of 32 bits.
ERROR:LIT:241 - Attribute INIT on ROM32X1 instance
   i_compressor/i_quantizator/i_zigzag/i_z4 has a hexadecimal value,
   10001100100110110110, which is too large. INIT should
contain a
   maximum of 32 bits.
ERROR:LIT:241 - Attribute INIT on ROM32X1 instance
   i_compressor/i_quantizator/i_zigzag/i_z3 has a hexadecimal value,
   01001010010011011000, which is too large. INIT should
contain a
   maximum of 32 bits.
ERROR:LIT:241 - Attribute INIT on ROM32X1 instance
   i_compressor/i_quantizator/i_zigzag/i_z2 has a hexadecimal value,
   011000110101001000100110, which is too large. INIT should
contain a
   maximum of 32 bits.
ERROR:LIT:239 - Attribute INIT on ROM32X1 instance
   i_compressor/i_quantizator/i_zigzag/i_z1 has an invalid hexadecimal
value,
   h611A7896.
ERROR:LIT:241 - Attribute INIT on ROM32X1 instance
   i_compressor/i_quantizator/i_zigzag/i_z0 has a hexadecimal value,
   11000110011100110001100111001100, which is too large. INIT should
contain a
   maximum of 32 bits.

*AS YOU SUGGESTED IN PREVIOUS MAIL *:

change all ROM32X1 instances:

 ROM32X1 i_z0 ( .A0(rom_a[0]), .A1(rom_a[1]), .A2(rom_a[2]), .A3(rom_a[3]),
 .A4(rom_a[4]), .O(rom_q[0]));
 ...
 defparam i_z0.INIT = 32'hC67319CC;

to:

 ROM32X1 (.INIT(32'hC67319CC)) i_z0 ( .A0(rom_a[0]), .A1(rom_a[1]),
 .A2(rom_a[2]), .A3(rom_a[3]), .A4(rom_a[4]), .O(rom_q[0]));


*IT IS LOOK LIKE*

   ROM32X1(.INIT(32'hC67319CC)) i_z0 ( .A0(rom_a[0]), .A1(rom_a[1]),
.A2(rom_a[2]), .A3(rom_a[3]), .A4(rom_a[4]), .O(rom_q[0]));
   ROM32X1(.INIT(32'h611A7896)) i_z1 ( .A0(rom_a[0]), .A1(rom_a[1]),
.A2(rom_a[2]), .A3(rom_a[3]), .A4(rom_a[4]), .O(rom_q[1]));
   ROM32X1(.INIT(32'h6357A260)) i_z2 ( .A0(rom_a[0]), .A1(rom_a[1]),
.A2(rom_a[2]), .A3(rom_a[3]), .A4(rom_a[4]), .O(rom_q[2]));
   ROM32X1(.INIT(32'h4A040C18)) i_z3 ( .A0(rom_a[0]), .A1(rom_a[1]),
.A2(rom_a[2]), .A3(rom_a[3]), .A4(rom_a[4]), .O(rom_q[3]));
   ROM32X1(.INIT(32'h8C983060)) i_z4 ( .A0(rom_a[0]), .A1(rom_a[1]),
.A2(rom_a[2]), .A3(rom_a[3]), .A4(rom_a[4]), .O(rom_q[4]));
   ROM32X1(.INIT(32'hF0E0C080)) i_z5 ( .A0(rom_a[0]), .A1(rom_a[1]),
.A2(rom_a[2]), .A3(rom_a[3]), .A4(rom_a[4]), .O(rom_q[5]));

*OUTPUT ERROR : DURING SYTHESIS*

ERROR:HDLCompilers:26 - quantizator353.v line 368 expecting ';', found
'i_z0'
ERROR:HDLCompilers:26 - quantizator353.v line 368 expecting 'endmodule',
found '('






On Thu, Aug 14, 2014 at 2:46 AM, Oleg support-list@support.elphel.com
wrote:

 Hi,

 Is it during synthesis or implementation?

 I think the last time it was built it was ISE WebPack 9.1 or 10.1 - and
 was ok. 14.7 looks like working as well. I check the Synthesis only.

 You can try:
 change all ROM32X1 instances:

 ROM32X1 i_z0 ( .A0(rom_a[0]), .A1(rom_a[1]), .A2(rom_a[2]),
 .A3(rom_a[3]), .A4(rom_a[4]), .O(rom_q[0]));
 ...
 defparam i_z0.INIT = 32'hC67319CC;

 to:

 ROM32X1 (.INIT(32'hC67319CC)) i_z0 ( .A0(rom_a[0]), .A1(rom_a[1]),
 .A2(rom_a[2]), .A3(rom_a[3]), .A4(rom_a[4]), .O(rom_q[0]));




 On 13 August 2014 02:25, gaurav dasondhi gauravdasondhi2...@gmail.com
 wrote:

 Hi

 QUESTION

 IN ISE WEBPACK DURING IMPLMENT DESIGN I GOT SOME ERRORS. DESIGN SUMMARY
 AND ERRORS ARE GIVEN BLOW.

   Target Device:

 xc3s1200e-5ft256

 Product Version:

 ISE 12.1

- Warnings:

  836 Warnings (1 new)

- Errors:

  X 6 Errors (2 new)


 I GOT THESE 6 EROORS PLEASE PROVIDE SOLUTION.


 1.ERROR:LIT:241 - Attribute INIT on ROM32X1 instance
i_compressor/i_quantizator/i_zigzag/i_z5 has a hexadecimal value,
111011001000, which is too large. INIT should
 contain a
maximum of 32 bits.
 ERROR:LIT:241 - Attribute INIT on ROM32X1 instance
i_compressor/i_quantizator/i_zigzag/i_z4 has a hexadecimal value,
10001100100110110110, which is too large. INIT should
 contain a
maximum of 32 bits.
 ERROR:LIT:241 - Attribute INIT on ROM32X1 instance
i_compressor/i_quantizator/i_zigzag/i_z3 has a hexadecimal value,
01001010010011011000, which is too large. INIT should
 contain a
maximum of 32 bits.
 ERROR:LIT:241 - Attribute INIT on ROM32X1 instance
i_compressor/i_quantizator/i_zigzag/i_z2 has a hexadecimal value,
011000110101001000100110, which is too large. INIT should
 contain a
maximum of 32 bits.
 ERROR:LIT:239 - Attribute INIT on ROM32X1 instance
i_compressor/i_quantizator/i_zigzag/i_z1 has an invalid hexadecimal
 value,
h611A7896.
 ERROR:LIT:241 - Attribute INIT on ROM32X1 instance
i_compressor/i_quantizator/i_zigzag/i_z0 has a hexadecimal value,
11000110011100110001100111001100, which is too large. INIT should
 contain a
maximum of 32 bits.