CVS olicha: * Fixed a miss-print in EWMH_SetFrameStrut

2004-05-18 Thread FVWM CVS
CVSROOT:/home/cvs/fvwm Module name:fvwm Changes by: olicha 04/05/18 03:03:06 Modified files: . : ChangeLog fvwm : ewmh.c Log message: * Fixed a miss-print in EWMH_SetFrameStrut -- Visit the official FVWM web page at

CVS migo: * update FAQ 3.22

2004-05-18 Thread FVWM CVS
CVSROOT:/home/cvs/fvwm Module name:fvwm Changes by: migo04/05/18 07:15:37 Modified files: docs : ChangeLog FAQ Log message: * update FAQ 3.22 -- Visit the official FVWM web page at URL:http://www.fvwm.org/. To unsubscribe from the list, send unsubscribe

query mini icon for window (using perllib?)

2004-05-18 Thread Scott Smedley
Hi Mikhael, Is there a way to find out the mini icon associated with a window via the perllib interface? SCoTT. :) -- Visit the official FVWM web page at URL:http://www.fvwm.org/. To unsubscribe from the list, send unsubscribe fvwm-workers in the body of a message to [EMAIL PROTECTED] To report

Re: query mini icon for window (using perllib?)

2004-05-18 Thread Scott Smedley
Is there a way to find out the mini icon associated with a window via the perllib interface? I suppose I could issue the following command from within FvwmTabs: WindowId $winId SendToModule FvwmTabs fn setMiniIcon $winId $[w.miniiconfile] but that seems a bit cumbersome ... is there a better

Re:leading apparel bag manufacturer in China

2004-05-18 Thread rachel
Dear Manager, I have the pleasure to know your esteemed Corp. We are the leading and professional manufacturer of apparel bag in Quanzhou, China. The following is some introductions about our company. Set up: 1988 Employees: 1600 persons Output: 5.1 million pcs/year Our products

Re: query mini icon for window (using perllib?)

2004-05-18 Thread Scott Smedley
Hi Mikhael, : Is not this the last (name) field of M_MINI_ICON event? Ah, of course. : Of course you clomber all names in your WindowList patch as far as I : understand it. : I had some idea about how to fix this. Do tell. Would you like me to fix it? SCoTT. :) -- Visit the official FVWM web