Hi Amit,

The x64 adc samples at 1/4 of the fpga clock rate (if using the default
yellow block). This means if doing a PFB on the adc outputs, the pfb-fir
has to accept 4 interleaved channels on a single input wire (64 channels on
16 wires). Once upon a time some modifications we're made to the block to
facilitate this - these may or may not have been lost to git merges past.
It's not a difficult feature to add if it's missing, but my guess is it
probably doesn't exist in the current casper-astro repos.

Cheers
Jack

On Wed, Mar 28, 2018, 10:21 AM abansod <aban...@mpifr-bonn.mpg.de> wrote:

> Hi Jack, Andrea
>
> Sorry to divert the topic but can you give some information on "patched lib
> for polyphase" ?
>
> Cheers,
> Amit
>
> On 23-03-2018 17:32, Andrea Mattana wrote:
> > Thank you so much, I really appreciate any hint by who setup the
> > infrastructure for the last workshop or who is going to do for the coming
> > workshop.
> >
> > I will update on progress as well maybe changing the subject of the this
> > thread that can be considered closed ;)
> >
> > Cheers,
> > Andrea
> >
> > 2018-03-23 17:25 GMT+01:00 Jack Hickish <jackhick...@gmail.com>:
> >
> >> Hi Andrea,
> >>
> >> Glad part 1 of your problem is solved.
> >>
> >> For part 2, I'm actually struggling with the same version issues in
> >> Berkeley
> >> (traditionally I've used the long-since-deprecated Corr library).
> >>
> >> I'm working on this with the ska-sa folk - I'll update you as soon as I
> >> have
> >> things working.
> >>
> >> Cheers
> >> Jack
> >>
> >> On Fri, Mar 23, 2018, 8:19 AM Andrea Mattana <matt...@ira.inaf.it>
> wrote:
> >>
> >> Thanks so much Jack,
> >> I finally have my working setup to design new Roach2 projects, I tested
> >> generating a simple flashing led design and bitstream generation went
> ok.
> >>
> >> I won't say the same for the katcp protocol that seems to have version
> >> mismatch between casperfpga and tcpborph3.
> >>
> >> I got the latest roach2_nfs_uboot and netboot went ok with the tip to
> touch
> >> the file /lib/modules/3.7.0-rc2+/modules.dep avoiding annoying warnings
> (I
> >> had to create manually the folder 3.7.0-rc2+ as well even if not
> mentioned
> >> anywhere), everything done as sudo to maintain file permissions.
> >>
> >> Depending of the katcp version I got many errors, here some example:
> >>
> >> With version 0.5.5 and later:
> >>
> >> Successfully installed katcp-0.5.5
> >> mattana@pandora:~$ ipython
> >> Python 2.7.14 (default, Sep 18 2017, 00:00:00)
> >> Type "copyright", "credits" or "license" for more information.
> >>
> >> IPython 5.1.0 -- An enhanced Interactive Python.
> >> ?         -> Introduction and overview of IPython's features.
> >> %quickref -> Quick reference.
> >> help      -> Python's own help system.
> >> object?   -> Details about 'object', use 'object??' for extra details.
> >>
> >> In [1]: import casperfpga
> >>
> >> In [2]: fpga = casperfpga.CasperFpga('roach-2-a')
> >> ERROR:casperfpga.transport_katcp:Protocol Version Error: Inform received
> >> from server indicating a katcp protocol revision inconsistent with the
> >> previously detected version. Disconnecting in disgust. Previous version:
> >> '4.0'. Inform received: '#version-connect katcp-protocol 4.9-M'
> >>
> >> with version 0.3.4
> >>
> >> mattana@pandora:~/casper/r2_fw$ pip freeze | grep katcp
> >> katcp==0.3.4
> >> mattana@pandora:~/casper/r2_fw$ ipython
> >> Python 2.7.14 (default, Sep 18 2017, 00:00:00)
> >> Type "copyright", "credits" or "license" for more information.
> >>
> >> IPython 5.1.0 -- An enhanced Interactive Python.
> >> ?         -> Introduction and overview of IPython's features.
> >> %quickref -> Quick reference.
> >> help      -> Python's own help system.
> >> object?   -> Details about 'object', use 'object??' for extra details.
> >>
> >> In [1]: import casperfpga
> >>
> >> In [2]: fpga = casperfpga.CasperFpga('roach-2-a')
> >> WARNING:casperfpga.transport_katcp:roach-2-a: no ._stream instance
> found.
> >>
> >> In [3]: fpga.upload_to_ram_and_program("r2_led_2018_Mar_22_1614.fpg")
> >>
> ---------------------------------------------------------------------------
> >> RuntimeError                              Traceback (most recent call
> last)
> >> <ipython-input-3-c259788e8440> in <module>()
> >> ----> 1 fpga.upload_to_ram_and_program("r2_led_2018_Mar_22_1614.fpg")
> >>
> >> /usr/local/lib/python2.7/dist-packages/casperfpga/casperfpga.pyc in
> >> upload_to_ram_and_program(self, filename, port, timeout, wait_complete)
> >> 181         """
> >> 182         rv = self.transport.upload_to_ram_and_program(
> >> --> 183             filename, port, timeout, wait_complete)
> >> 184         if filename[-3:] == 'fpg':
> >> 185             self.get_system_information(filename)
> >>
> >> /usr/local/lib/python2.7/dist-packages/casperfpga/transport_katcp.pyc in
> >> upload_to_ram_and_program(self, filename, port, timeout, wait_complete)
> >> 461         if request_result != '':
> >> 462             raise RuntimeError('progremote request(%s) on host %s
> >> failed' %
> >> --> 463                                (request_result, self.host))
> >> 464         # start the upload thread and join
> >> 465         upload_queue = Queue.Queue()
> >>
> >> RuntimeError: progremote request(Request to client roach-2-a failed.) on
> >> host roach-2-a failed
> >>
> >> Here what I got via USB from minicom:
> >>
> >> U-Boot 2011.06-rc2-00000-g2694c9d-dirty (Dec 04 2013 - 20:58:06)
> >>
> >> CPU:   AMCC PowerPC 440EPx Rev. A at 533.333 MHz (PLB=133 OPB=66 EBC=66)
> >> No Security/Kasumi support
> >> Bootstrap Option C - Boot ROM Location EBC (16 bits)
> >> 32 kB I-Cache 32 kB D-Cache
> >> Board: ROACH2
> >> I2C:   ready
> >> DRAM:  512 MiB
> >> Flash: 128 MiB
> >> In:    serial
> >> Out:   serial
> >> Err:   serial
> >> CPLD:  2.1
> >> USB:   Host(int phy)
> >> SN:    ROACH2.2 batch=D#10#2 software fixups match
> >> MAC:   02:44:01:02:0a:02
> >> DTT:   1 is 32 C
> >> DTT:   2 is 29 C
> >> Net:   ppc_4xx_eth0
> >> Sensors Config
> >> type run netboot to boot via dhcp+tftp+nfs
> >> type run soloboot to run from flash independent of network
> >>
> >> Hit any key to stop autoboot:  0
> >> Waiting for PHY auto negotiation to complete.. done
> >> ENET Speed is 100 Mbps - FULL duplex connection (EMAC0)
> >> BOOTP broadcast 1
> >> *** Unhandled DHCP Option in OFFER/ACK: 28
> >> *** Unhandled DHCP Option in OFFER/ACK: 28
> >> DHCP client bound to address 192.168.10.121
> >> Using ppc_4xx_eth0 device
> >> TFTP from server 192.168.10.5; our IP address is 192.168.10.121
> >> Filename '/roach2/boot/uImage-r2borph3'.
> >> Load address: 0x4000000
> >>
> >> blablabla
> >>
> >> tcpborphserver: ... loading roach mmap driver ...
> >> insmod: can't read '/lib/modules/roach_mmap.ko': No such file or
> directory
> >> version *3* using mmap
> >> tcpborphserver3: about to go into background
> >>
> >> Debian GNU/Linux 6.0 roach-2-a ttyS0
> >>
> >> roach-2-a login:
> >>
> >> Do you have other tips regarding wich katcp - uboot - kernel - etc etc
> we
> >> should have for a stable system? There a compatibility matrix?
> >>
> >> Many thanks to all,
> >> Cheers,
> >> Andrea
> >>
> >> 2018-03-21 21:52 GMT+01:00 Jack Hickish <jackhick...@gmail.com>:
> >>
> >> Hi Andrea,
> >>
> >> On Wed, 21 Mar 2018 at 13:22 Andrea Mattana <matt...@ira.inaf.it>
> wrote:
> >>
> >> Hi all,
> >>
> >> after many months of working on another board (SKA TPM for LFAA) today
> I'm
> >> back to a project using the ROACH-1 but I figured out that my "longterm"
> >> Matlab R2009b license expired, therefore I decided to try to install the
> >> "new" toolflow for ROACH2.
> >>
> >> Following the instruction on the wiki web page and some hint hidden in
> the
> >> mailing list I didn't get a working system. I have installed:
> >>
> >> Ubuntu 12.04
> >> Matlab 2012b
> >> Xilinx 14.7
> >> dumped mlib_devel repository from git casper-astro
> >> additional deprecated pbcores and patched the sh shell
> >> finally modified the startsg.local (specially the jasper=ise!) with my
> >> paths
> >>
> >> but Matlab crashed at the very beginning when launched from the system
> >> generator (got also a message saying the the XILINX_DSP env doesn't
> match
> >> the XILINX env, resetting...).
> >>
> >> After many attempts I tried also with more recently ubuntu release, I
> found
> >> a mail from Jack saying that with the 14.04 it works. I got the same
> >> behavior.
> >>
> >> At the end I decided to play with the repository version and with some
> luck
> >> I got a working setup, haven't tested yet to call it "stable".
> >>
> >> My questions are:
> >>
> >> 1. which is the right repository hash version of a stable ROACH2
> mlib_devel
> >> toolflow? For istance the one used during the CASPER workshop training.
> >>
> >> You want the tip of the "roach2" branch at
> >> gitrhub.com/casper-astro/mlib_devel [1] . Currently this is hash
> d6cd28b5d
> >>
> >> 2. Can be reported to the wiki page as well? I found that something
> similar
> >> is done for the tutorials of the last workshop.
> >>
> >> I suppose this should really be both on the wiki and in the mlib_devel
> >> readme. I'll put this on my todo list, but obviously if you feel like
> >> editing either the wiki (if you have an account) or the git readme (by
> >> raising a pull request) that'd be very much appreciated.
> >>
> >> 3. What are the differences (if exists) between the ska-sa and
> casper-astro
> >> libs?
> >>
> >> DON'T EVER USE THE SKA-SA LIBRARIES!!!
> >> Sorry, that's not very helpful :)
> >> More seriously, for the most part everything in the ska-sa library is
> >> periodically merged into the casper-astro fork. But the casper-astro
> fork
> >> has been deliberately split up to maintain a roach2 branch, and at least
> >> has
> >> the intention of supporting general casper users. The ska-sa branch is
> >> (obviously) centered around the work going on at SKA-SA / MeerKAT, and
> as
> >> such, may or may not be appropriate for your needs. For example, the
> roach2
> >> branch on ska-sa is currently 194 commits behind casper-astro:roach2.
> >> If there is a feature / bugfix in ska-sa that is needed in casper-astro,
> >> you
> >> can flag this by either raising an issue on casper-astro's github, or
> (even
> >> better, if you have the git-fu) merging the feature into your local
> >> mlib_devel and submitting a pull request.
> >>
> >> 4. I would like to try to porting automatically the simulink design
> >> developed by using the Xilinx 11.4 blockset to the 14.7, but I don't
> >> remember what is the xilinx script to be used (xlmupdate? xlblablabla,
> >> xlabracadabra?!?)  :D
> >>
> >> I believe it is xlUpdateModel, or xlUpdateModelAbracadabraPlease
> >> There is also update_casper_blocks(...) which will replace any casper
> >> blocks
> >> in your design with fresh versions from the library, copying over the
> >> parameters. It's basically the automated version of deleting the block,
> and
> >> re-adding it back in. If you've changed mlib_devel versions, this can be
> >> super useful.
> >> update_casper_blocks(bdroot)  %bdroot is a shortcut for the top-level of
> >> your model "block diagram root"
> >> will update *every casper library block" in your design. Be aware that
> it
> >> might take quite a while to run.
> >>
> >> 5. I'm going to use the ADC-64 input (already used with the ROACH-1 but
> >> using a oxford patched lib for the poliphase), any hint?
> >>
> >> I would suggest you go to the latest mlib_devel, and then if we need to
> >> re-patch the polyphase FIR I can help you do that. Eventually I'm sure
> >> we'll
> >> want the feature in the current PFB-FIR anyway.
> >>
> >> Thanks in advance for the help!
> >>
> >> Hope to see you at the next CASPER workshop (if I'll find who will pay
> my
> >> ticket :])
> >>
> >> Hope to see you there Andrea. If not, I'm always happy to come visit
> you in
> >> Medicina :)
> >>
> >> Cheers
> >> Jack
> >>
> >> Cheers,
> >> Andrea
> >>
> >> --
> >>
> >> Andrea Mattana
> >>
> >> I.N.A.F. - Istituto di Radioastronomia
> >> Radiotelescopi di Medicina
> >> Via Fiorentina, 3513 - 40059 Medicina (Bo)
> >> Tel. 051/6965847      Fax. 051/6965810
> >>
> >> --
> >> You received this message because you are subscribed to the Google
> Groups
> >> "casper@lists.berkeley.edu" group.
> >> To unsubscribe from this group and stop receiving emails from it, send
> an
> >> email to casper+unsubscr...@lists.berkeley.edu.
> >> To post to this group, send email to casper@lists.berkeley.edu.
> >>
> >> --
> >> You received this message because you are subscribed to the Google
> Groups
> >> "casper@lists.berkeley.edu" group.
> >> To unsubscribe from this group and stop receiving emails from it, send
> an
> >> email to casper+unsubscr...@lists.berkeley.edu.
> >> To post to this group, send email to casper@lists.berkeley.edu.
> >
> > --
> >
> > Andrea Mattana
> >
> > I.N.A.F. - Istituto di Radioastronomia
> > Radiotelescopi di Medicina
> > Via Fiorentina, 3513 - 40059 Medicina (Bo)
> > Tel. 051/6965847      Fax. 051/6965810
> >
> >  --
> > You received this message because you are subscribed to the Google Groups
> > "casper@lists.berkeley.edu" group.
> > To unsubscribe from this group and stop receiving emails from it, send an
> > email to casper+unsubscr...@lists.berkeley.edu.
> > To post to this group, send email to casper@lists.berkeley.edu.
> >
> >  --
> > You received this message because you are subscribed to the Google Groups
> > "casper@lists.berkeley.edu" group.
> > To unsubscribe from this group and stop receiving emails from it, send an
> > email to casper+unsubscr...@lists.berkeley.edu.
> > To post to this group, send email to casper@lists.berkeley.edu.
> >
> > --
> >
> > Andrea Mattana
> >
> > I.N.A.F. - Istituto di Radioastronomia
> > Radiotelescopi di Medicina
> > Via Fiorentina, 3513 - 40059 Medicina (Bo)
> > Tel. 051/6965847      Fax. 051/6965810
> >
> >  --
> > You received this message because you are subscribed to the Google Groups
> > "casper@lists.berkeley.edu" group.
> > To unsubscribe from this group and stop receiving emails from it, send an
> > email to casper+unsubscr...@lists.berkeley.edu.
> > To post to this group, send email to casper@lists.berkeley.edu.
> >
> >
> > Links:
> > ------
> > [1] http://gitrhub.com/casper-astro/mlib_devel
>
> --
> --
> Amit Bansod
>
> --
> You received this message because you are subscribed to the Google Groups "
> casper@lists.berkeley.edu" group.
> To unsubscribe from this group and stop receiving emails from it, send an
> email to casper+unsubscr...@lists.berkeley.edu.
> To post to this group, send email to casper@lists.berkeley.edu.
>

-- 
You received this message because you are subscribed to the Google Groups 
"casper@lists.berkeley.edu" group.
To unsubscribe from this group and stop receiving emails from it, send an email 
to casper+unsubscr...@lists.berkeley.edu.
To post to this group, send email to casper@lists.berkeley.edu.

Reply via email to