As: http://www.enlightenment.org/ss/e-54aa94e4da9742.14698270.jpg

Where the real bug happens is where my patch is, but after that there's
hardcoded /usr/share and /usr/local/share in the case that we dont have
XDG_DATA_DIRS set (which the default dirs are these ones, so having them
hardcoded looks correct here for me)


2015-01-03 17:04 GMT+01:00 Sebastian Dransfeld <s...@tango.flipp.net>:

>
> > Den Jan 2, 2015 kl. 22:18 skrev Davide Andreoli <d...@gurumeditation.it
> >:
> >
> > 2014-12-31 19:31 GMT+01:00 Thanatermesis <thanatermesis.e...@gmail.com>:
> >
> >> Right, there's also the case of /usr/local which i have not considered
> >> (only /usr and /usr/local), so this updated patch fixes it:
> >>
> >> http://pastebin.com/1Nn4rETD
> >>
> >> by other side these trailing slashes seems like to be made wrongly by
> your
> >> OS creating the XDG_DATA_DIRS variable, they should not include trailing
> >> slashes, maybe we should remove the possible trailing slashes from the
> >> variable get
> > I still think that harcoding a path is always the wrong solution, looking
> > at that
> > file seems to me that the real problem is some line below, in the else:
> > snprintf(buf, sizeof(buf), "%s:/usr/local/share:/usr/share", newpath);
> >
> > Why we are blindly adding /usr/share and /usr/loca/share here ??
> > plus newpath, that can include the same ??
> >
> > this seems the real source of the problem, but I'm not in touch with
> > that code, I cannot really understand what was the intention here :/
> >
>
> Lazyness. It is there to make sure we have all needed paths, with no check
> for duplicates. The right solution is to parse the env var to a list, then
> add the missing dirs.
>
> Sebastian
>
> ------------------------------------------------------------------------------
> Dive into the World of Parallel Programming! The Go Parallel Website,
> sponsored by Intel and developed in partnership with Slashdot Media, is
> your
> hub for all things parallel software development, from weekly thought
> leadership blogs to news, videos, case studies, tutorials and more. Take a
> look and join the conversation now. http://goparallel.sourceforge.net
> _______________________________________________
> enlightenment-devel mailing list
> enlightenment-devel@lists.sourceforge.net
> https://lists.sourceforge.net/lists/listinfo/enlightenment-devel
>
------------------------------------------------------------------------------
Dive into the World of Parallel Programming! The Go Parallel Website,
sponsored by Intel and developed in partnership with Slashdot Media, is your
hub for all things parallel software development, from weekly thought
leadership blogs to news, videos, case studies, tutorials and more. Take a
look and join the conversation now. http://goparallel.sourceforge.net
_______________________________________________
enlightenment-devel mailing list
enlightenment-devel@lists.sourceforge.net
https://lists.sourceforge.net/lists/listinfo/enlightenment-devel

Reply via email to