On 27 Nov 2013, at 4:46 am, Brian Drummond <br...@shapes.demon.co.uk> wrote:

> 
> I have managed to get GHDL to build with gcc4.8.2 as both the compiler and 
> the sources.
> 
> Instructions (README), build script and patch attached.
> 
> Testing so far shows no problems, including the simple OSVVM demo.
> 
> Apologies for formatting of previous message.
> I had no idea Evolution would simply "cat" some of the attachments (but
> not others!) instead of attaching them properly.
> 
> Anyway a better home for the patch and instructions is at:
> https://gna.org/bugs/index.php?21305


Any chance of a change log (even by groups of files)?  There seems to be three 
groups of changes in this patch file.  You're OS-VVM patches, the GCC 4.8.2 
patches and other changes.

Funny, the weather today pretty much matches that of Dùn Omhain here in the 
Antipodes (where it's supposed to be summer).

Also Nick Gasson has hosted the Philip Wilsey's  VHDL tESTs (VESTs) on github) 
and reported -r150 ghdl passed 3558 of the  3759 no error (analyze) tests with 
201 failures.  There are tests that are supposed to fail during elaboration or 
simulation not included in those numbers.  I think I saw something that would 
knock at least one of those failures out in your patch.   See 
https://github.com/nickg/vests  (and this is a case of having to know the 
path).   GPLv2 which is why they're in a separate repository.


Nicks script for running the analyze VESTs against ghdl:

https://github.com/nickg/nvc/blob/e874111ab5a5fe9d0cbbc80e6caf801473297c12/test/run_vests.rb

I'm not interested in getting stuck chasing gcc releases but could be persuaded 
to work on VHDL language compliance issues and testing. I spent a week or so 
screening ghdl bug reports and trimming them down, added a few more. (VHDL 
Lawyering when done properly is labor intensive, too bad we don't get paid like 
lawyers).

After suitable testing I could probably manage to enter the current spate of 
patches into the gna ghdl archive, although I think it may be time to host a 
branch somewhere else.  Hence the interest in change logs.



_______________________________________________
Ghdl-discuss mailing list
Ghdl-discuss@gna.org
https://mail.gna.org/listinfo/ghdl-discuss

Reply via email to