Thank you very much! That works perfectly

On Sun, Apr 23, 2017 at 9:54 PM, Richter, Arne <arne.rich...@haw-hamburg.de>
wrote:

>
> ghdl -e -v -Wl,<O_File_1>.o -Wl,<O_File_2>.o ...  -Wl,<O_File_N>.o
> <Top_Level_Name>
>
>
> ------------------------------
> *Von:* Ghdl-discuss [ghdl-discuss-boun...@gna.org]" im Auftrag von
> "Hipólito Guzmán [hguz...@us.es]
> *Gesendet:* Sonntag, 23. April 2017 15:23
> *An:* ghdl-discuss@gna.org
> *Betreff:* [Ghdl-discuss] Status of the VPI / VHPI interfaces
> implementation
>
> Hi everybody,
>
> I want to communicate a VHDL simulator with some external code for a
> research project and I would like to use GHDL.
>
> What is the status of the VPI / VHPI / interfacing to other languages
> implementation on GHDL?
>
> I have been able to compile and run the external sin() example here:
> http://ghdl.readthedocs.io/en/latest/GHDL_implementation_of_
> VHDL.html#interfacing-to-other-languages , but I'm having trouble getting
> a simple custom .c/.o file linked with GHDL. ¿Do I need to create a library
> with my custom functions, or is there a way to link against a specific
> object code file during the elaboration phase?
>
> I am using GHDL 0.34dev with GCC back-end code generator.
>
> Kind regards,
>
> Hipólito
>
> _______________________________________________
> Ghdl-discuss mailing list
> Ghdl-discuss@gna.org
> https://mail.gna.org/listinfo/ghdl-discuss
>
>
_______________________________________________
Ghdl-discuss mailing list
Ghdl-discuss@gna.org
https://mail.gna.org/listinfo/ghdl-discuss

Reply via email to