Hey Jacob,

Are Lagging_A and Leading_B selections or objects? Generally for surfaces
to display right you have to create a new object for the part you want
(using either the create or extract commands). Otherwise you get holes in
the surface where the selection contacts the rest of the object.

-Spencer

On Tue, Dec 30, 2014 at 10:16 PM, Jacob Lewis <jl...@uowmail.edu.au> wrote:

> Hi all,
>
> I am using the maps and isosurface to represent a large protein protein
> complex, however whenever I generate a a map then isosurface it has holes
> in it areas that are not complete. I don’t understand as it surface
> representation it is a complete, closed surface? Anyone have any ideas as
> to why this is happening? Below is how I generate the maps and isosurface.
>
> remove solvent
> alter all, b=40
> alter all, q=1
> set gaussian_resolution,15
> set surface_quality, 2
>
> # Clamp Loader Maps
> # Lagging A
> map_new Lagging_A-MAP, gaussian, 1, Lagging_A, 3
> isosurface Lagging_A-SURF, Lagging_A-MAP
>
> # Leading B
> map_new Leading_B-MAP, gaussian, 1, Leading_B, 3
> isosurface Leading_B-SURF, Leading_B-MAP
>
> Any help is greatly appreciated,
>
> Cheers,
>
> Jacob
>
> ------------------------------------------------------------------------------
> Dive into the World of Parallel Programming! The Go Parallel Website,
> sponsored by Intel and developed in partnership with Slashdot Media, is
> your
> hub for all things parallel software development, from weekly thought
> leadership blogs to news, videos, case studies, tutorials and more. Take a
> look and join the conversation now. http://goparallel.sourceforge.net
> _______________________________________________
> PyMOL-users mailing list (PyMOL-users@lists.sourceforge.net)
> Info Page: https://lists.sourceforge.net/lists/listinfo/pymol-users
> Archives: http://www.mail-archive.com/pymol-users@lists.sourceforge.net
------------------------------------------------------------------------------
Dive into the World of Parallel Programming! The Go Parallel Website,
sponsored by Intel and developed in partnership with Slashdot Media, is your
hub for all things parallel software development, from weekly thought
leadership blogs to news, videos, case studies, tutorials and more. Take a
look and join the conversation now. http://goparallel.sourceforge.net
_______________________________________________
PyMOL-users mailing list (PyMOL-users@lists.sourceforge.net)
Info Page: https://lists.sourceforge.net/lists/listinfo/pymol-users
Archives: http://www.mail-archive.com/pymol-users@lists.sourceforge.net

Reply via email to