Re: [M-Labs devel] LM32 testbench files modelsim compile error

2014-01-10 Thread Yann Sionneau

You are welcome :)

Le 10/01/14 21:03, Salman Sheikh a écrit :

Yes, now it works

Salman



On Fri, Jan 10, 2014 at 2:49 PM, Yann Sionneau 
mailto:yann.sionn...@gmail.com>> wrote:


You should remove *everything* *from* line 86 *to the end* of the
file crt.S

So remove the blocks :

_itlb_miss_handler: [...]

_dtlb_miss_handler:

[...]

_dtlb_fault_handler:

[...]


_privilege_exception_handler:

[...]



2014/1/10 Salman Sheikh mailto:salmanishe...@gmail.com>>

I removed the following lines from unittests/crt0.S and also
commented out the lines 53, 84, 85 and 86 from the top level
Makefile

85a86,95
> _itlb_miss_handler:
>   ori r25, r25, 128
>   rcsr r24, TLBVADDR
>   ret
>   nop
>   nop
>   nop
>   nop
>   nop

And I get this:

$ make unittest
make -C unittests check
make[1]: Entering directory
'/cygdrive/c/GMSAG/CPU_TESTING/lm32-master/test/unittests'
lm32-elf-gcc -x assembler -Wa,-I,. -c crt.S -o crt.o
crt.S: Assembler messages:
crt.S:88: Error: unrecognized keyword/register name `rcsr
r24,TLBVADDR'
crt.S:98: Error: unrecognized keyword/register name `rcsr
r24,TLBVADDR'
Makefile:103: recipe for target 'crt.o' failed
make[1]: *** [crt.o] Error 1
make[1]: Leaving directory
'/cygdrive/c/GMSAG/CPU_TESTING/lm32-master/test/unittests'
Makefile:52: recipe for target 'unittest' failed
make: *** [unittest] Error 2




On Fri, Jan 10, 2014 at 1:02 PM, Yann Sionneau mailto:y...@minet.net>> wrote:

You didn't say if removing the lines in crt.S and Makefile
fix your issue.
Does it?

Le 2014-01-10 18:41, Salman Sheikh a écrit :

Now in that misoc/README you referenced, it mentioned
installing
gcc...I am attempting to do so but I don't find a
gcc-core and gcc-g++
but just one large (105MB) gcc file. I tried running
configure and it
complained about needing these before proceeding, do
they need any
special target options or just plain vanilla compile..

configure: error: Building GCC requires GMP 4.2+, MPFR
2.4.0+ and MPC
0.8.0+.

On Fri, Jan 10, 2014 at 12:37 PM, Yann Sionneau
mailto:y...@minet.net>>
wrote:

Le 2014-01-10 18:34, Yann Sionneau a écrit :

answer inline:

Le 2014-01-10 18:20, Salman Sheikh a écrit :
Okay, got a new binutils installed and the

make sim_hello_world spits out "Hello World"..

but the make sim_pipe1 doesn't seem to do anything
on the screen
(no
output but no errors)...

but the make unittest failsI suspect (and
dread) I might have
to
recompile the entire gcc for lm32...its still
using the
lm32-elf-gcc
from Lattice...

make unittest
make -C unittests check
make[1]: Entering directory
'/cygdrive/c/GMSAG/CPU_TESTING/lm32-master/test/unittests'
lm32-elf-gcc -x assembler -Wa,-I,. -c crt.S -o crt.o
crt.S: Assembler messages:
crt.S:88: Error: unrecognized keyword/register
name `rcsr
r24,TLBVADDR'
crt.S:98: Error: unrecognized keyword/register
name `rcsr
r24,TLBVADDR'
crt.S:108: Error: unrecognized keyword/register
name `rcsr
r24,TLBVADDR'
Makefile:103: recipe for target 'crt.o' failed
make[1]: *** [crt.o] Error 1
make[1]: Leaving directory
'/cygdrive/c/GMSAG/CPU_TESTING/lm32-master/test/unittests'
Makefile:52: recipe for target 'unittest' failed
make: *** [unittest] Error 2


 Your binutils does not support lm32 MMU, you should
at least use
binutils 2.23.2
 OR you can use binutils from my github repository:
https://github.com/fallen/lm32-binutils-mmu [1] but I
would strongly

 recommend using upstream binutils 2.23.2 or superior.

 OR if you don't want to use the MMU anyway, you can
just remove the
 MMU 

Re: [M-Labs devel] LM32 testbench files modelsim compile error

2014-01-10 Thread Salman Sheikh
Yes, now it works

Salman



On Fri, Jan 10, 2014 at 2:49 PM, Yann Sionneau wrote:

> You should remove *everything* *from* line 86 *to the end* of the file
> crt.S
>
> So remove the blocks :
>
> _itlb_miss_handler:
>
>
> [...]
>
> _dtlb_miss_handler:
>
>
> [...]
>
> _dtlb_fault_handler:
>
>
> [...]
>
> _privilege_exception_handler:
>
>
> [...]
>
>
>
> 2014/1/10 Salman Sheikh 
>
>> I removed the following lines from unittests/crt0.S and also commented
>> out the lines 53, 84, 85 and 86 from the top level Makefile
>>
>> 85a86,95
>> > _itlb_miss_handler:
>> >   ori r25, r25, 128
>> >   rcsr r24, TLBVADDR
>> >   ret
>> >   nop
>> >   nop
>> >   nop
>> >   nop
>> >   nop
>>
>> And I get this:
>>
>> $ make unittest
>> make -C unittests check
>> make[1]: Entering directory
>> '/cygdrive/c/GMSAG/CPU_TESTING/lm32-master/test/unittests'
>> lm32-elf-gcc -x assembler -Wa,-I,. -c crt.S -o crt.o
>> crt.S: Assembler messages:
>> crt.S:88: Error: unrecognized keyword/register name `rcsr r24,TLBVADDR'
>> crt.S:98: Error: unrecognized keyword/register name `rcsr r24,TLBVADDR'
>> Makefile:103: recipe for target 'crt.o' failed
>> make[1]: *** [crt.o] Error 1
>> make[1]: Leaving directory
>> '/cygdrive/c/GMSAG/CPU_TESTING/lm32-master/test/unittests'
>> Makefile:52: recipe for target 'unittest' failed
>> make: *** [unittest] Error 2
>>
>>
>>
>>
>> On Fri, Jan 10, 2014 at 1:02 PM, Yann Sionneau  wrote:
>>
>>> You didn't say if removing the lines in crt.S and Makefile fix your
>>> issue.
>>> Does it?
>>>
>>> Le 2014-01-10 18:41, Salman Sheikh a écrit :
>>>
 Now in that misoc/README you referenced, it mentioned installing
 gcc...I am attempting to do so but I don't find a gcc-core and gcc-g++
 but just one large (105MB) gcc file. I tried running configure and it
 complained about needing these before proceeding, do they need any
 special target options or just plain vanilla compile..

 configure: error: Building GCC requires GMP 4.2+, MPFR 2.4.0+ and MPC
 0.8.0+.

 On Fri, Jan 10, 2014 at 12:37 PM, Yann Sionneau 
 wrote:

  Le 2014-01-10 18:34, Yann Sionneau a écrit :
>
> answer inline:
>
> Le 2014-01-10 18:20, Salman Sheikh a écrit :
> Okay, got a new binutils installed and the
>
> make sim_hello_world spits out "Hello World"..
>
> but the make sim_pipe1 doesn't seem to do anything on the screen
> (no
> output but no errors)...
>
> but the make unittest failsI suspect (and dread) I might have
> to
> recompile the entire gcc for lm32...its still using the
> lm32-elf-gcc
> from Lattice...
>
> make unittest
> make -C unittests check
> make[1]: Entering directory
> '/cygdrive/c/GMSAG/CPU_TESTING/lm32-master/test/unittests'
> lm32-elf-gcc -x assembler -Wa,-I,. -c crt.S -o crt.o
> crt.S: Assembler messages:
> crt.S:88: Error: unrecognized keyword/register name `rcsr
> r24,TLBVADDR'
> crt.S:98: Error: unrecognized keyword/register name `rcsr
> r24,TLBVADDR'
> crt.S:108: Error: unrecognized keyword/register name `rcsr
> r24,TLBVADDR'
> Makefile:103: recipe for target 'crt.o' failed
> make[1]: *** [crt.o] Error 1
> make[1]: Leaving directory
> '/cygdrive/c/GMSAG/CPU_TESTING/lm32-master/test/unittests'
> Makefile:52: recipe for target 'unittest' failed
> make: *** [unittest] Error 2
>

  Your binutils does not support lm32 MMU, you should at least use
 binutils 2.23.2
  OR you can use binutils from my github repository:
  https://github.com/fallen/lm32-binutils-mmu [1] but I would strongly

  recommend using upstream binutils 2.23.2 or superior.

  OR if you don't want to use the MMU anyway, you can just remove the
  MMU unit test from the list by removing the lines 53 84 85 86 in
  https://github.com/m-labs/lm32/blob/master/test/unittests/Makefile
 [2]


  That would be the fastest and cleanest solution for you if you don't
  care about unit testing the MMU :)

  I forgot to say to remove everything from line 86 in this file:
 https://github.com/m-labs/lm32/blob/master/test/unittests/crt.S#L86
 [3]


  On Fri, Jan 10, 2014 at 11:06 AM, Sébastien Bourdeauducq
>  wrote:
>
> On 01/10/2014 05:08 PM, Yann Sionneau wrote:
> issues with your lm32 objcopy not supporting the -O verilog
> backend
>
> Do those issues manifest themselves with a fresh binutils, or the
> outdated Lattice binaries?
>
  ___

  Devel mailing list
  Devel@lists.milkymist.org
  https://ssl.serverraum.org/lists/listinfo/devel [4]


  --
  Yann Sionneau

 --

 Even a Smile is charity :)
 - Prophet Muhammad



 Links:
 --
 [1] https://github.com/fallen/lm32-binutils-mmu
 [2] https://gi

Re: [M-Labs devel] LM32 testbench files modelsim compile error

2014-01-10 Thread Yann Sionneau
You can use gcc-core from http://ftp.gnu.org/gnu/gcc/gcc-4.5.4/

Indeed you need several packages/dependencies in order to compile gcc
configure script will generally tell you what to install (like what you
pasted).

To compile a lm32-elf toolchain you can have a look there:
http://milkymist.org/wiki/index.php?title=Compiling_a_lightweight_Mico32_toolchain

Just use gcc 4.5.4 instead of 4.5.3 and use binutils 2.24



2014/1/10 Salman Sheikh 

> Now in that misoc/README you referenced, it mentioned installing gcc...I
> am attempting to do so but I don't find a gcc-core and gcc-g++ but just one
> large (105MB) gcc file. I tried running configure and it complained about
> needing these before proceeding, do they need any special target options or
> just plain vanilla compile..
>
> configure: error: Building GCC requires GMP 4.2+, MPFR 2.4.0+ and MPC
> 0.8.0+.
>
>
>
> On Fri, Jan 10, 2014 at 12:37 PM, Yann Sionneau  wrote:
>
>> Le 2014-01-10 18:34, Yann Sionneau a écrit :
>>
>>  answer inline:
>>>
>>> Le 2014-01-10 18:20, Salman Sheikh a écrit :
>>>
 Okay, got a new binutils installed and the

 make sim_hello_world spits out "Hello World"..

 but the make sim_pipe1 doesn't seem to do anything on the screen (no
 output but no errors)...

 but the make unittest failsI suspect (and dread) I might have to
 recompile the entire gcc for lm32...its still using the lm32-elf-gcc
 from Lattice...

  make unittest
>
 make -C unittests check
 make[1]: Entering directory
 '/cygdrive/c/GMSAG/CPU_TESTING/lm32-master/test/unittests'
 lm32-elf-gcc -x assembler -Wa,-I,. -c crt.S -o crt.o
 crt.S: Assembler messages:
 crt.S:88: Error: unrecognized keyword/register name `rcsr
 r24,TLBVADDR'
 crt.S:98: Error: unrecognized keyword/register name `rcsr
 r24,TLBVADDR'
 crt.S:108: Error: unrecognized keyword/register name `rcsr
 r24,TLBVADDR'
 Makefile:103: recipe for target 'crt.o' failed
 make[1]: *** [crt.o] Error 1
 make[1]: Leaving directory
 '/cygdrive/c/GMSAG/CPU_TESTING/lm32-master/test/unittests'
 Makefile:52: recipe for target 'unittest' failed
 make: *** [unittest] Error 2

>>>
>>> Your binutils does not support lm32 MMU, you should at least use
>>> binutils 2.23.2
>>> OR you can use binutils from my github repository:
>>> https://github.com/fallen/lm32-binutils-mmu but I would strongly
>>> recommend using upstream binutils 2.23.2 or superior.
>>>
>>>
>>> OR if you don't want to use the MMU anyway, you can just remove the
>>> MMU unit test from the list by removing the lines 53 84 85 86 in
>>> https://github.com/m-labs/lm32/blob/master/test/unittests/Makefile
>>>
>>> That would be the fastest and cleanest solution for you if you don't
>>> care about unit testing the MMU :)
>>>
>>
>> I forgot to say to remove everything from line 86 in this file:
>> https://github.com/m-labs/lm32/blob/master/test/unittests/crt.S#L86
>>
>>
>>>
 On Fri, Jan 10, 2014 at 11:06 AM, Sébastien Bourdeauducq
  wrote:

  On 01/10/2014 05:08 PM, Yann Sionneau wrote:
>
>> issues with your lm32 objcopy not supporting the -O verilog
>>
> backend
>
> Do those issues manifest themselves with a fresh binutils, or the
> outdated Lattice binaries?
>
 ___
>>>
>>> Devel mailing list
>>> Devel@lists.milkymist.org
>>> https://ssl.serverraum.org/lists/listinfo/devel
>>>
>>
>> --
>> Yann Sionneau
>>
>
>
>
> --
> Even a Smile is charity :)
> - Prophet Muhammad
>
>
>
> ___
> Devel mailing list
> Devel@lists.milkymist.org
> https://ssl.serverraum.org/lists/listinfo/devel
>
>


-- 
Yann Sionneau
___
Devel mailing list
Devel@lists.milkymist.org
https://ssl.serverraum.org/lists/listinfo/devel


Re: [M-Labs devel] LM32 testbench files modelsim compile error

2014-01-10 Thread Yann Sionneau
You should remove *everything* *from* line 86 *to the end* of the file crt.S

So remove the blocks :

_itlb_miss_handler:

[...]

_dtlb_miss_handler:


[...]

_dtlb_fault_handler:


[...]
_privilege_exception_handler:


[...]



2014/1/10 Salman Sheikh 

> I removed the following lines from unittests/crt0.S and also commented out
> the lines 53, 84, 85 and 86 from the top level Makefile
>
> 85a86,95
> > _itlb_miss_handler:
> >   ori r25, r25, 128
> >   rcsr r24, TLBVADDR
> >   ret
> >   nop
> >   nop
> >   nop
> >   nop
> >   nop
>
> And I get this:
>
> $ make unittest
> make -C unittests check
> make[1]: Entering directory
> '/cygdrive/c/GMSAG/CPU_TESTING/lm32-master/test/unittests'
> lm32-elf-gcc -x assembler -Wa,-I,. -c crt.S -o crt.o
> crt.S: Assembler messages:
> crt.S:88: Error: unrecognized keyword/register name `rcsr r24,TLBVADDR'
> crt.S:98: Error: unrecognized keyword/register name `rcsr r24,TLBVADDR'
> Makefile:103: recipe for target 'crt.o' failed
> make[1]: *** [crt.o] Error 1
> make[1]: Leaving directory
> '/cygdrive/c/GMSAG/CPU_TESTING/lm32-master/test/unittests'
> Makefile:52: recipe for target 'unittest' failed
> make: *** [unittest] Error 2
>
>
>
>
> On Fri, Jan 10, 2014 at 1:02 PM, Yann Sionneau  wrote:
>
>> You didn't say if removing the lines in crt.S and Makefile fix your issue.
>> Does it?
>>
>> Le 2014-01-10 18:41, Salman Sheikh a écrit :
>>
>>> Now in that misoc/README you referenced, it mentioned installing
>>> gcc...I am attempting to do so but I don't find a gcc-core and gcc-g++
>>> but just one large (105MB) gcc file. I tried running configure and it
>>> complained about needing these before proceeding, do they need any
>>> special target options or just plain vanilla compile..
>>>
>>> configure: error: Building GCC requires GMP 4.2+, MPFR 2.4.0+ and MPC
>>> 0.8.0+.
>>>
>>> On Fri, Jan 10, 2014 at 12:37 PM, Yann Sionneau 
>>> wrote:
>>>
>>>  Le 2014-01-10 18:34, Yann Sionneau a écrit :

 answer inline:

 Le 2014-01-10 18:20, Salman Sheikh a écrit :
 Okay, got a new binutils installed and the

 make sim_hello_world spits out "Hello World"..

 but the make sim_pipe1 doesn't seem to do anything on the screen
 (no
 output but no errors)...

 but the make unittest failsI suspect (and dread) I might have
 to
 recompile the entire gcc for lm32...its still using the
 lm32-elf-gcc
 from Lattice...

 make unittest
 make -C unittests check
 make[1]: Entering directory
 '/cygdrive/c/GMSAG/CPU_TESTING/lm32-master/test/unittests'
 lm32-elf-gcc -x assembler -Wa,-I,. -c crt.S -o crt.o
 crt.S: Assembler messages:
 crt.S:88: Error: unrecognized keyword/register name `rcsr
 r24,TLBVADDR'
 crt.S:98: Error: unrecognized keyword/register name `rcsr
 r24,TLBVADDR'
 crt.S:108: Error: unrecognized keyword/register name `rcsr
 r24,TLBVADDR'
 Makefile:103: recipe for target 'crt.o' failed
 make[1]: *** [crt.o] Error 1
 make[1]: Leaving directory
 '/cygdrive/c/GMSAG/CPU_TESTING/lm32-master/test/unittests'
 Makefile:52: recipe for target 'unittest' failed
 make: *** [unittest] Error 2

>>>
>>>  Your binutils does not support lm32 MMU, you should at least use
>>> binutils 2.23.2
>>>  OR you can use binutils from my github repository:
>>>  https://github.com/fallen/lm32-binutils-mmu [1] but I would strongly
>>>
>>>  recommend using upstream binutils 2.23.2 or superior.
>>>
>>>  OR if you don't want to use the MMU anyway, you can just remove the
>>>  MMU unit test from the list by removing the lines 53 84 85 86 in
>>>  https://github.com/m-labs/lm32/blob/master/test/unittests/Makefile
>>> [2]
>>>
>>>
>>>  That would be the fastest and cleanest solution for you if you don't
>>>  care about unit testing the MMU :)
>>>
>>>  I forgot to say to remove everything from line 86 in this file:
>>> https://github.com/m-labs/lm32/blob/master/test/unittests/crt.S#L86
>>> [3]
>>>
>>>
>>>  On Fri, Jan 10, 2014 at 11:06 AM, Sébastien Bourdeauducq
  wrote:

 On 01/10/2014 05:08 PM, Yann Sionneau wrote:
 issues with your lm32 objcopy not supporting the -O verilog
 backend

 Do those issues manifest themselves with a fresh binutils, or the
 outdated Lattice binaries?

>>>  ___
>>>
>>>  Devel mailing list
>>>  Devel@lists.milkymist.org
>>>  https://ssl.serverraum.org/lists/listinfo/devel [4]
>>>
>>>
>>>  --
>>>  Yann Sionneau
>>>
>>> --
>>>
>>> Even a Smile is charity :)
>>> - Prophet Muhammad
>>>
>>>
>>>
>>> Links:
>>> --
>>> [1] https://github.com/fallen/lm32-binutils-mmu
>>> [2] https://github.com/m-labs/lm32/blob/master/test/unittests/Makefile
>>> [3] https://github.com/m-labs/lm32/blob/master/test/unittests/crt.S#L86
>>> [4] https://ssl.serverraum.org/lists/listinfo/devel
>>>
>>
>> --
>> Yann Sionneau
>>
>
>
>
> --
> Even a Smile is charity :)
> - Prophet Muham

Re: [M-Labs devel] LM32 testbench files modelsim compile error

2014-01-10 Thread Salman Sheikh
I removed the following lines from unittests/crt0.S and also commented out
the lines 53, 84, 85 and 86 from the top level Makefile

85a86,95
> _itlb_miss_handler:
>   ori r25, r25, 128
>   rcsr r24, TLBVADDR
>   ret
>   nop
>   nop
>   nop
>   nop
>   nop

And I get this:

$ make unittest
make -C unittests check
make[1]: Entering directory
'/cygdrive/c/GMSAG/CPU_TESTING/lm32-master/test/unittests'
lm32-elf-gcc -x assembler -Wa,-I,. -c crt.S -o crt.o
crt.S: Assembler messages:
crt.S:88: Error: unrecognized keyword/register name `rcsr r24,TLBVADDR'
crt.S:98: Error: unrecognized keyword/register name `rcsr r24,TLBVADDR'
Makefile:103: recipe for target 'crt.o' failed
make[1]: *** [crt.o] Error 1
make[1]: Leaving directory
'/cygdrive/c/GMSAG/CPU_TESTING/lm32-master/test/unittests'
Makefile:52: recipe for target 'unittest' failed
make: *** [unittest] Error 2




On Fri, Jan 10, 2014 at 1:02 PM, Yann Sionneau  wrote:

> You didn't say if removing the lines in crt.S and Makefile fix your issue.
> Does it?
>
> Le 2014-01-10 18:41, Salman Sheikh a écrit :
>
>> Now in that misoc/README you referenced, it mentioned installing
>> gcc...I am attempting to do so but I don't find a gcc-core and gcc-g++
>> but just one large (105MB) gcc file. I tried running configure and it
>> complained about needing these before proceeding, do they need any
>> special target options or just plain vanilla compile..
>>
>> configure: error: Building GCC requires GMP 4.2+, MPFR 2.4.0+ and MPC
>> 0.8.0+.
>>
>> On Fri, Jan 10, 2014 at 12:37 PM, Yann Sionneau 
>> wrote:
>>
>>  Le 2014-01-10 18:34, Yann Sionneau a écrit :
>>>
>>> answer inline:
>>>
>>> Le 2014-01-10 18:20, Salman Sheikh a écrit :
>>> Okay, got a new binutils installed and the
>>>
>>> make sim_hello_world spits out "Hello World"..
>>>
>>> but the make sim_pipe1 doesn't seem to do anything on the screen
>>> (no
>>> output but no errors)...
>>>
>>> but the make unittest failsI suspect (and dread) I might have
>>> to
>>> recompile the entire gcc for lm32...its still using the
>>> lm32-elf-gcc
>>> from Lattice...
>>>
>>> make unittest
>>> make -C unittests check
>>> make[1]: Entering directory
>>> '/cygdrive/c/GMSAG/CPU_TESTING/lm32-master/test/unittests'
>>> lm32-elf-gcc -x assembler -Wa,-I,. -c crt.S -o crt.o
>>> crt.S: Assembler messages:
>>> crt.S:88: Error: unrecognized keyword/register name `rcsr
>>> r24,TLBVADDR'
>>> crt.S:98: Error: unrecognized keyword/register name `rcsr
>>> r24,TLBVADDR'
>>> crt.S:108: Error: unrecognized keyword/register name `rcsr
>>> r24,TLBVADDR'
>>> Makefile:103: recipe for target 'crt.o' failed
>>> make[1]: *** [crt.o] Error 1
>>> make[1]: Leaving directory
>>> '/cygdrive/c/GMSAG/CPU_TESTING/lm32-master/test/unittests'
>>> Makefile:52: recipe for target 'unittest' failed
>>> make: *** [unittest] Error 2
>>>
>>
>>  Your binutils does not support lm32 MMU, you should at least use
>> binutils 2.23.2
>>  OR you can use binutils from my github repository:
>>  https://github.com/fallen/lm32-binutils-mmu [1] but I would strongly
>>
>>  recommend using upstream binutils 2.23.2 or superior.
>>
>>  OR if you don't want to use the MMU anyway, you can just remove the
>>  MMU unit test from the list by removing the lines 53 84 85 86 in
>>  https://github.com/m-labs/lm32/blob/master/test/unittests/Makefile
>> [2]
>>
>>
>>  That would be the fastest and cleanest solution for you if you don't
>>  care about unit testing the MMU :)
>>
>>  I forgot to say to remove everything from line 86 in this file:
>> https://github.com/m-labs/lm32/blob/master/test/unittests/crt.S#L86
>> [3]
>>
>>
>>  On Fri, Jan 10, 2014 at 11:06 AM, Sébastien Bourdeauducq
>>>  wrote:
>>>
>>> On 01/10/2014 05:08 PM, Yann Sionneau wrote:
>>> issues with your lm32 objcopy not supporting the -O verilog
>>> backend
>>>
>>> Do those issues manifest themselves with a fresh binutils, or the
>>> outdated Lattice binaries?
>>>
>>  ___
>>
>>  Devel mailing list
>>  Devel@lists.milkymist.org
>>  https://ssl.serverraum.org/lists/listinfo/devel [4]
>>
>>
>>  --
>>  Yann Sionneau
>>
>> --
>>
>> Even a Smile is charity :)
>> - Prophet Muhammad
>>
>>
>>
>> Links:
>> --
>> [1] https://github.com/fallen/lm32-binutils-mmu
>> [2] https://github.com/m-labs/lm32/blob/master/test/unittests/Makefile
>> [3] https://github.com/m-labs/lm32/blob/master/test/unittests/crt.S#L86
>> [4] https://ssl.serverraum.org/lists/listinfo/devel
>>
>
> --
> Yann Sionneau
>



-- 
Even a Smile is charity :)
- Prophet Muhammad
___
Devel mailing list
Devel@lists.milkymist.org
https://ssl.serverraum.org/lists/listinfo/devel


Re: [M-Labs devel] LM32 testbench files modelsim compile error

2014-01-10 Thread Yann Sionneau
You didn't say if removing the lines in crt.S and Makefile fix your 
issue.

Does it?

Le 2014-01-10 18:41, Salman Sheikh a écrit :

Now in that misoc/README you referenced, it mentioned installing
gcc...I am attempting to do so but I don't find a gcc-core and gcc-g++
but just one large (105MB) gcc file. I tried running configure and it
complained about needing these before proceeding, do they need any
special target options or just plain vanilla compile..

configure: error: Building GCC requires GMP 4.2+, MPFR 2.4.0+ and MPC
0.8.0+.

On Fri, Jan 10, 2014 at 12:37 PM, Yann Sionneau 
wrote:


Le 2014-01-10 18:34, Yann Sionneau a écrit :

answer inline:

Le 2014-01-10 18:20, Salman Sheikh a écrit :
Okay, got a new binutils installed and the 

make sim_hello_world spits out "Hello World"..

but the make sim_pipe1 doesn't seem to do anything on the screen
(no
output but no errors)...

but the make unittest failsI suspect (and dread) I might have
to
recompile the entire gcc for lm32...its still using the
lm32-elf-gcc
from Lattice...

make unittest
make -C unittests check
make[1]: Entering directory
'/cygdrive/c/GMSAG/CPU_TESTING/lm32-master/test/unittests'
lm32-elf-gcc -x assembler -Wa,-I,. -c crt.S -o crt.o
crt.S: Assembler messages:
crt.S:88: Error: unrecognized keyword/register name `rcsr
r24,TLBVADDR'
crt.S:98: Error: unrecognized keyword/register name `rcsr
r24,TLBVADDR'
crt.S:108: Error: unrecognized keyword/register name `rcsr
r24,TLBVADDR'
Makefile:103: recipe for target 'crt.o' failed
make[1]: *** [crt.o] Error 1
make[1]: Leaving directory
'/cygdrive/c/GMSAG/CPU_TESTING/lm32-master/test/unittests'
Makefile:52: recipe for target 'unittest' failed
make: *** [unittest] Error 2


 Your binutils does not support lm32 MMU, you should at least use
binutils 2.23.2
 OR you can use binutils from my github repository:
 https://github.com/fallen/lm32-binutils-mmu [1] but I would strongly
 recommend using upstream binutils 2.23.2 or superior.

 OR if you don't want to use the MMU anyway, you can just remove the
 MMU unit test from the list by removing the lines 53 84 85 86 in
 https://github.com/m-labs/lm32/blob/master/test/unittests/Makefile
[2]

 That would be the fastest and cleanest solution for you if you don't
 care about unit testing the MMU :)

 I forgot to say to remove everything from line 86 in this file:
https://github.com/m-labs/lm32/blob/master/test/unittests/crt.S#L86
[3]


On Fri, Jan 10, 2014 at 11:06 AM, Sébastien Bourdeauducq
 wrote:

On 01/10/2014 05:08 PM, Yann Sionneau wrote:
issues with your lm32 objcopy not supporting the -O verilog
backend

Do those issues manifest themselves with a fresh binutils, or the
outdated Lattice binaries?

 ___

 Devel mailing list
 Devel@lists.milkymist.org
 https://ssl.serverraum.org/lists/listinfo/devel [4]

 --
 Yann Sionneau

--

Even a Smile is charity :)
- Prophet Muhammad



Links:
--
[1] https://github.com/fallen/lm32-binutils-mmu
[2] https://github.com/m-labs/lm32/blob/master/test/unittests/Makefile
[3] https://github.com/m-labs/lm32/blob/master/test/unittests/crt.S#L86
[4] https://ssl.serverraum.org/lists/listinfo/devel


--
Yann Sionneau
___
Devel mailing list
Devel@lists.milkymist.org
https://ssl.serverraum.org/lists/listinfo/devel


Re: [M-Labs devel] LM32 testbench files modelsim compile error

2014-01-10 Thread Salman Sheikh
Now in that misoc/README you referenced, it mentioned installing gcc...I am
attempting to do so but I don't find a gcc-core and gcc-g++ but just one
large (105MB) gcc file. I tried running configure and it complained about
needing these before proceeding, do they need any special target options or
just plain vanilla compile..

configure: error: Building GCC requires GMP 4.2+, MPFR 2.4.0+ and MPC
0.8.0+.



On Fri, Jan 10, 2014 at 12:37 PM, Yann Sionneau  wrote:

> Le 2014-01-10 18:34, Yann Sionneau a écrit :
>
>  answer inline:
>>
>> Le 2014-01-10 18:20, Salman Sheikh a écrit :
>>
>>> Okay, got a new binutils installed and the
>>>
>>> make sim_hello_world spits out "Hello World"..
>>>
>>> but the make sim_pipe1 doesn't seem to do anything on the screen (no
>>> output but no errors)...
>>>
>>> but the make unittest failsI suspect (and dread) I might have to
>>> recompile the entire gcc for lm32...its still using the lm32-elf-gcc
>>> from Lattice...
>>>
>>>  make unittest

>>> make -C unittests check
>>> make[1]: Entering directory
>>> '/cygdrive/c/GMSAG/CPU_TESTING/lm32-master/test/unittests'
>>> lm32-elf-gcc -x assembler -Wa,-I,. -c crt.S -o crt.o
>>> crt.S: Assembler messages:
>>> crt.S:88: Error: unrecognized keyword/register name `rcsr
>>> r24,TLBVADDR'
>>> crt.S:98: Error: unrecognized keyword/register name `rcsr
>>> r24,TLBVADDR'
>>> crt.S:108: Error: unrecognized keyword/register name `rcsr
>>> r24,TLBVADDR'
>>> Makefile:103: recipe for target 'crt.o' failed
>>> make[1]: *** [crt.o] Error 1
>>> make[1]: Leaving directory
>>> '/cygdrive/c/GMSAG/CPU_TESTING/lm32-master/test/unittests'
>>> Makefile:52: recipe for target 'unittest' failed
>>> make: *** [unittest] Error 2
>>>
>>
>> Your binutils does not support lm32 MMU, you should at least use binutils
>> 2.23.2
>> OR you can use binutils from my github repository:
>> https://github.com/fallen/lm32-binutils-mmu but I would strongly
>> recommend using upstream binutils 2.23.2 or superior.
>>
>>
>> OR if you don't want to use the MMU anyway, you can just remove the
>> MMU unit test from the list by removing the lines 53 84 85 86 in
>> https://github.com/m-labs/lm32/blob/master/test/unittests/Makefile
>>
>> That would be the fastest and cleanest solution for you if you don't
>> care about unit testing the MMU :)
>>
>
> I forgot to say to remove everything from line 86 in this file:
> https://github.com/m-labs/lm32/blob/master/test/unittests/crt.S#L86
>
>
>>
>>> On Fri, Jan 10, 2014 at 11:06 AM, Sébastien Bourdeauducq
>>>  wrote:
>>>
>>>  On 01/10/2014 05:08 PM, Yann Sionneau wrote:

> issues with your lm32 objcopy not supporting the -O verilog
>
 backend

 Do those issues manifest themselves with a fresh binutils, or the
 outdated Lattice binaries?

>>> ___
>>
>> Devel mailing list
>> Devel@lists.milkymist.org
>> https://ssl.serverraum.org/lists/listinfo/devel
>>
>
> --
> Yann Sionneau
>



-- 
Even a Smile is charity :)
- Prophet Muhammad
___
Devel mailing list
Devel@lists.milkymist.org
https://ssl.serverraum.org/lists/listinfo/devel


Re: [M-Labs devel] LM32 testbench files modelsim compile error

2014-01-10 Thread Yann Sionneau

answer inline:

Le 2014-01-10 18:20, Salman Sheikh a écrit :

Okay, got a new binutils installed and the 

make sim_hello_world spits out "Hello World"..

but the make sim_pipe1 doesn't seem to do anything on the screen (no
output but no errors)...

but the make unittest failsI suspect (and dread) I might have to
recompile the entire gcc for lm32...its still using the lm32-elf-gcc
from Lattice...


make unittest

make -C unittests check
make[1]: Entering directory
'/cygdrive/c/GMSAG/CPU_TESTING/lm32-master/test/unittests'
lm32-elf-gcc -x assembler -Wa,-I,. -c crt.S -o crt.o
crt.S: Assembler messages:
crt.S:88: Error: unrecognized keyword/register name `rcsr
r24,TLBVADDR'
crt.S:98: Error: unrecognized keyword/register name `rcsr
r24,TLBVADDR'
crt.S:108: Error: unrecognized keyword/register name `rcsr
r24,TLBVADDR'
Makefile:103: recipe for target 'crt.o' failed
make[1]: *** [crt.o] Error 1
make[1]: Leaving directory
'/cygdrive/c/GMSAG/CPU_TESTING/lm32-master/test/unittests'
Makefile:52: recipe for target 'unittest' failed
make: *** [unittest] Error 2


Your binutils does not support lm32 MMU, you should at least use 
binutils 2.23.2
OR you can use binutils from my github repository: 
https://github.com/fallen/lm32-binutils-mmu but I would strongly 
recommend using upstream binutils 2.23.2 or superior.



OR if you don't want to use the MMU anyway, you can just remove the MMU 
unit test from the list by removing the lines 53 84 85 86 in

https://github.com/m-labs/lm32/blob/master/test/unittests/Makefile

That would be the fastest and cleanest solution for you if you don't 
care about unit testing the MMU :)




On Fri, Jan 10, 2014 at 11:06 AM, Sébastien Bourdeauducq
 wrote:


On 01/10/2014 05:08 PM, Yann Sionneau wrote:

issues with your lm32 objcopy not supporting the -O verilog

backend

Do those issues manifest themselves with a fresh binutils, or the
outdated Lattice binaries?

___
Devel mailing list
Devel@lists.milkymist.org
https://ssl.serverraum.org/lists/listinfo/devel


Re: [M-Labs devel] LM32 testbench files modelsim compile error

2014-01-10 Thread Yann Sionneau

Le 2014-01-10 18:34, Yann Sionneau a écrit :

answer inline:

Le 2014-01-10 18:20, Salman Sheikh a écrit :

Okay, got a new binutils installed and the 

make sim_hello_world spits out "Hello World"..

but the make sim_pipe1 doesn't seem to do anything on the screen (no
output but no errors)...

but the make unittest failsI suspect (and dread) I might have to
recompile the entire gcc for lm32...its still using the lm32-elf-gcc
from Lattice...


make unittest

make -C unittests check
make[1]: Entering directory
'/cygdrive/c/GMSAG/CPU_TESTING/lm32-master/test/unittests'
lm32-elf-gcc -x assembler -Wa,-I,. -c crt.S -o crt.o
crt.S: Assembler messages:
crt.S:88: Error: unrecognized keyword/register name `rcsr
r24,TLBVADDR'
crt.S:98: Error: unrecognized keyword/register name `rcsr
r24,TLBVADDR'
crt.S:108: Error: unrecognized keyword/register name `rcsr
r24,TLBVADDR'
Makefile:103: recipe for target 'crt.o' failed
make[1]: *** [crt.o] Error 1
make[1]: Leaving directory
'/cygdrive/c/GMSAG/CPU_TESTING/lm32-master/test/unittests'
Makefile:52: recipe for target 'unittest' failed
make: *** [unittest] Error 2


Your binutils does not support lm32 MMU, you should at least use 
binutils 2.23.2

OR you can use binutils from my github repository:
https://github.com/fallen/lm32-binutils-mmu but I would strongly
recommend using upstream binutils 2.23.2 or superior.


OR if you don't want to use the MMU anyway, you can just remove the
MMU unit test from the list by removing the lines 53 84 85 86 in
https://github.com/m-labs/lm32/blob/master/test/unittests/Makefile

That would be the fastest and cleanest solution for you if you don't
care about unit testing the MMU :)


I forgot to say to remove everything from line 86 in this file: 
https://github.com/m-labs/lm32/blob/master/test/unittests/crt.S#L86






On Fri, Jan 10, 2014 at 11:06 AM, Sébastien Bourdeauducq
 wrote:


On 01/10/2014 05:08 PM, Yann Sionneau wrote:

issues with your lm32 objcopy not supporting the -O verilog

backend

Do those issues manifest themselves with a fresh binutils, or the
outdated Lattice binaries?

___
Devel mailing list
Devel@lists.milkymist.org
https://ssl.serverraum.org/lists/listinfo/devel


--
Yann Sionneau
___
Devel mailing list
Devel@lists.milkymist.org
https://ssl.serverraum.org/lists/listinfo/devel


Re: [M-Labs devel] LM32 testbench files modelsim compile error

2014-01-10 Thread Salman Sheikh
Clarification, there is output on the terminal but the program doesn't do
anything visible..

$ make sim_pipe1
lm32-elf-objcopy -O verilog pipe1.elf pipe1.vh
rm pipe1.vh



On Fri, Jan 10, 2014 at 12:20 PM, Salman Sheikh wrote:

> Okay, got a new binutils installed and the
>
> make sim_hello_world spits out "Hello World"..
>
> but the make sim_pipe1 doesn't seem to do anything on the screen (no
> output but no errors)...
>
> but the make unittest failsI suspect (and dread) I might have to
> recompile the entire gcc for lm32...its still using the lm32-elf-gcc from
> Lattice...
>
> >make unittest
> make -C unittests check
> make[1]: Entering directory
> '/cygdrive/c/GMSAG/CPU_TESTING/lm32-master/test/unittests'
> lm32-elf-gcc -x assembler -Wa,-I,. -c crt.S -o crt.o
> crt.S: Assembler messages:
> crt.S:88: Error: unrecognized keyword/register name `rcsr r24,TLBVADDR'
> crt.S:98: Error: unrecognized keyword/register name `rcsr r24,TLBVADDR'
> crt.S:108: Error: unrecognized keyword/register name `rcsr r24,TLBVADDR'
> Makefile:103: recipe for target 'crt.o' failed
> make[1]: *** [crt.o] Error 1
> make[1]: Leaving directory
> '/cygdrive/c/GMSAG/CPU_TESTING/lm32-master/test/unittests'
> Makefile:52: recipe for target 'unittest' failed
> make: *** [unittest] Error 2
>
>
>
>
>
>
> On Fri, Jan 10, 2014 at 11:06 AM, Sébastien Bourdeauducq <
> sebastien.bourdeaud...@lekernel.net> wrote:
>
>> On 01/10/2014 05:08 PM, Yann Sionneau wrote:
>> > issues with your lm32 objcopy not supporting the -O verilog backend
>>
>> Do those issues manifest themselves with a fresh binutils, or the
>> outdated Lattice binaries?
>>
>> ___
>> Devel mailing list
>> Devel@lists.milkymist.org
>> https://ssl.serverraum.org/lists/listinfo/devel
>>
>
>
>
> --
> Even a Smile is charity :)
> - Prophet Muhammad
>
>
>


-- 
Even a Smile is charity :)
- Prophet Muhammad
___
Devel mailing list
Devel@lists.milkymist.org
https://ssl.serverraum.org/lists/listinfo/devel


Re: [M-Labs devel] LM32 testbench files modelsim compile error

2014-01-10 Thread Salman Sheikh
Okay, got a new binutils installed and the

make sim_hello_world spits out "Hello World"..

but the make sim_pipe1 doesn't seem to do anything on the screen (no output
but no errors)...

but the make unittest failsI suspect (and dread) I might have to
recompile the entire gcc for lm32...its still using the lm32-elf-gcc from
Lattice...

>make unittest
make -C unittests check
make[1]: Entering directory
'/cygdrive/c/GMSAG/CPU_TESTING/lm32-master/test/unittests'
lm32-elf-gcc -x assembler -Wa,-I,. -c crt.S -o crt.o
crt.S: Assembler messages:
crt.S:88: Error: unrecognized keyword/register name `rcsr r24,TLBVADDR'
crt.S:98: Error: unrecognized keyword/register name `rcsr r24,TLBVADDR'
crt.S:108: Error: unrecognized keyword/register name `rcsr r24,TLBVADDR'
Makefile:103: recipe for target 'crt.o' failed
make[1]: *** [crt.o] Error 1
make[1]: Leaving directory
'/cygdrive/c/GMSAG/CPU_TESTING/lm32-master/test/unittests'
Makefile:52: recipe for target 'unittest' failed
make: *** [unittest] Error 2






On Fri, Jan 10, 2014 at 11:06 AM, Sébastien Bourdeauducq <
sebastien.bourdeaud...@lekernel.net> wrote:

> On 01/10/2014 05:08 PM, Yann Sionneau wrote:
> > issues with your lm32 objcopy not supporting the -O verilog backend
>
> Do those issues manifest themselves with a fresh binutils, or the
> outdated Lattice binaries?
>
> ___
> Devel mailing list
> Devel@lists.milkymist.org
> https://ssl.serverraum.org/lists/listinfo/devel
>



-- 
Even a Smile is charity :)
- Prophet Muhammad
___
Devel mailing list
Devel@lists.milkymist.org
https://ssl.serverraum.org/lists/listinfo/devel


Re: [M-Labs devel] LM32 testbench files modelsim compile error

2014-01-10 Thread Yann Sionneau

Le 2014-01-10 17:06, Sébastien Bourdeauducq a écrit :

On 01/10/2014 05:08 PM, Yann Sionneau wrote:

issues with your lm32 objcopy not supporting the -O verilog backend


Do those issues manifest themselves with a fresh binutils, or the
outdated Lattice binaries?

___
Devel mailing list
Devel@lists.milkymist.org
https://ssl.serverraum.org/lists/listinfo/devel


I had those issues with my own compiled binutils, but maybe my build was 
wrong somehow, I don't know.


--
Yann Sionneau
___
Devel mailing list
Devel@lists.milkymist.org
https://ssl.serverraum.org/lists/listinfo/devel


Re: [M-Labs devel] LM32 testbench files modelsim compile error

2014-01-10 Thread Salman Sheikh
I am having them with the lattice binutils...I will try compiling my own..


On Fri, Jan 10, 2014 at 11:06 AM, Sébastien Bourdeauducq <
sebastien.bourdeaud...@lekernel.net> wrote:

> On 01/10/2014 05:08 PM, Yann Sionneau wrote:
> > issues with your lm32 objcopy not supporting the -O verilog backend
>
> Do those issues manifest themselves with a fresh binutils, or the
> outdated Lattice binaries?
>
> ___
> Devel mailing list
> Devel@lists.milkymist.org
> https://ssl.serverraum.org/lists/listinfo/devel
>



-- 
Even a Smile is charity :)
- Prophet Muhammad
___
Devel mailing list
Devel@lists.milkymist.org
https://ssl.serverraum.org/lists/listinfo/devel


Re: [M-Labs devel] LM32 testbench files modelsim compile error

2014-01-10 Thread Sébastien Bourdeauducq
On 01/10/2014 05:08 PM, Yann Sionneau wrote:
> issues with your lm32 objcopy not supporting the -O verilog backend

Do those issues manifest themselves with a fresh binutils, or the
outdated Lattice binaries?

___
Devel mailing list
Devel@lists.milkymist.org
https://ssl.serverraum.org/lists/listinfo/devel


Re: [M-Labs devel] LM32 testbench files modelsim compile error

2014-01-10 Thread Yann Sionneau

You should not be running "make sim_%"

the % in Makefile is a bit like * in shell.
it means it will catch any target starting with "sim_"

So for instance you could type : make sim_pipe1 or make sim_hello_world

In order to run the unit tests which are in test/unittests:

you can run make unittest

If then you, after all that, still have issues with your lm32 objcopy 
not supporting the -O verilog backend you can modify the Makefiles as I 
did over here:


https://github.com/fallen/lm32/blob/asid/test/unittests/Makefile#112

Quote :

%.vh: %.elf
@$(OBJCOPY) -O binary $< $@.tmp
@hexdump -Cv $@.tmp | awk 'BEGIN { FS=" " } ; { for (i = 2 ; i < 
18 ; i++) { printf "%s ",$$i } ; print " " }' > $@

@$(RM) $@.tmp

instead of using the objcopy stuff, it works in my environment :)

Le 2014-01-10 16:50, Salman Sheikh a écrit :

Okay, I installed iverilog. I got this far with the test directory
compilation, iverilog compiled but the sim fails...

 make
iverilog -I. -I../rtl -o tb_lm32_system tb_lm32_system.v lm32_config.v
../rtl/lm32_adder.v ../rtl/lm32_addsub.v ../rtl/lm32_cpu.v
../rtl/lm32_dcache.v ../rtl/lm32_debug.v ../rtl/lm32_decoder.v
../rtl/lm32_dp_ram.v ../rtl/lm32_icache.v
../rtl/lm32_instruction_unit.v ../rtl/lm32_interrupt.v
../rtl/lm32_jtag.v ../rtl/lm32_load_store_unit.v
../rtl/lm32_logic_op.v ../rtl/lm32_mc_arithmetic.v
../rtl/lm32_multiplier.v ../rtl/lm32_ram.v ../rtl/lm32_shifter.v
../rtl/lm32_itlb.v ../rtl/lm32_dtlb.v ../rtl/lm32_top.v

ssheikh@GS66-MSAGPC3 /cygdrive/c/GMSAG/CPU_TESTING/lm32-master/test
$ make sim_%
lm32-elf-gcc -Tlinker.ld -fno-builtin -nostdlib -lgcc -o %.elf
/cygdrive/c/lscc/diamond/2.2_x64/micosystem/gtools/lm32/bin/../lib/gcc/lm32-elf/4.3.0/../../../../lm32-elf/bin/ld:
warning: cannot find entry symbol _start; defaulting to 
lm32-elf-objcopy -O verilog %.elf %.vh
lm32-elf-objcopy: %.vh: Invalid bfd target
Makefile:43: recipe for target '%.vh' failed
make: *** [%.vh] Error 1
rm %.elf

On Fri, Jan 10, 2014 at 9:10 AM, Sébastien Bourdeauducq
 wrote:


On 01/10/2014 03:13 PM, Salman Sheikh wrote:

Okay, I got that compiled but I am not able to get the simulation
running on modelsim. I need to change the Makefile (or better yet
understand what vvp equivalent is for Modelsim)


What exactly do you need Modelsim for? If it's for speed, you may
want
to try Verilator, which is free and very fast - sometimes faster
than
Modelsim. If you do, please share your testbenches/compilation
scripts.

Sébastien

___
Devel mailing list
Devel@lists.milkymist.org
https://ssl.serverraum.org/lists/listinfo/devel [1]


--

Even a Smile is charity :)
- Prophet Muhammad



Links:
--
[1] https://ssl.serverraum.org/lists/listinfo/devel

___
Devel mailing list
Devel@lists.milkymist.org
https://ssl.serverraum.org/lists/listinfo/devel


--
Yann Sionneau
___
Devel mailing list
Devel@lists.milkymist.org
https://ssl.serverraum.org/lists/listinfo/devel


Re: [M-Labs devel] LM32 testbench files modelsim compile error

2014-01-10 Thread Sébastien Bourdeauducq
On 01/10/2014 04:50 PM, Salman Sheikh wrote:
> lm32-elf-objcopy -O verilog %.elf %.vh
> lm32-elf-objcopy: %.vh: Invalid bfd target

How did you get your lm32 binutils? I recommend you compile a recent
version yourself instead of using the binaries from Lattice.

https://github.com/m-labs/misoc/blob/master/README#L11

Sébastien

___
Devel mailing list
Devel@lists.milkymist.org
https://ssl.serverraum.org/lists/listinfo/devel


Re: [M-Labs devel] LM32 testbench files modelsim compile error

2014-01-10 Thread Salman Sheikh
Okay, I installed iverilog. I got this far with the test directory
compilation, iverilog compiled but the sim fails...

 make
iverilog -I. -I../rtl -o tb_lm32_system tb_lm32_system.v lm32_config.v
../rtl/lm32_adder.v ../rtl/lm32_addsub.v ../rtl/lm32_cpu.v
../rtl/lm32_dcache.v ../rtl/lm32_debug.v ../rtl/lm32_decoder.v
../rtl/lm32_dp_ram.v ../rtl/lm32_icache.v ../rtl/lm32_instruction_unit.v
../rtl/lm32_interrupt.v ../rtl/lm32_jtag.v ../rtl/lm32_load_store_unit.v
../rtl/lm32_logic_op.v ../rtl/lm32_mc_arithmetic.v ../rtl/lm32_multiplier.v
../rtl/lm32_ram.v ../rtl/lm32_shifter.v ../rtl/lm32_itlb.v
../rtl/lm32_dtlb.v ../rtl/lm32_top.v

ssheikh@GS66-MSAGPC3 /cygdrive/c/GMSAG/CPU_TESTING/lm32-master/test
$ make sim_%
lm32-elf-gcc -Tlinker.ld -fno-builtin -nostdlib -lgcc -o %.elf
/cygdrive/c/lscc/diamond/2.2_x64/micosystem/gtools/lm32/bin/../lib/gcc/lm32-elf/4.3.0/../../../../lm32-elf/bin/ld:
warning: cannot find entry symbol _start; defaulting to 
lm32-elf-objcopy -O verilog %.elf %.vh
lm32-elf-objcopy: %.vh: Invalid bfd target
Makefile:43: recipe for target '%.vh' failed
make: *** [%.vh] Error 1
rm %.elf



On Fri, Jan 10, 2014 at 9:10 AM, Sébastien Bourdeauducq <
sebastien.bourdeaud...@lekernel.net> wrote:

> On 01/10/2014 03:13 PM, Salman Sheikh wrote:
> > Okay, I got that compiled but I am not able to get the simulation
> > running on modelsim. I need to change the Makefile (or better yet
> > understand what vvp equivalent is for Modelsim)
>
> What exactly do you need Modelsim for? If it's for speed, you may want
> to try Verilator, which is free and very fast - sometimes faster than
> Modelsim. If you do, please share your testbenches/compilation scripts.
>
> Sébastien
>
> ___
> Devel mailing list
> Devel@lists.milkymist.org
> https://ssl.serverraum.org/lists/listinfo/devel
>



-- 
Even a Smile is charity :)
- Prophet Muhammad
___
Devel mailing list
Devel@lists.milkymist.org
https://ssl.serverraum.org/lists/listinfo/devel


Re: [M-Labs devel] LM32 testbench files modelsim compile error

2014-01-10 Thread Sébastien Bourdeauducq
On 01/10/2014 03:13 PM, Salman Sheikh wrote:
> Okay, I got that compiled but I am not able to get the simulation
> running on modelsim. I need to change the Makefile (or better yet
> understand what vvp equivalent is for Modelsim)

What exactly do you need Modelsim for? If it's for speed, you may want
to try Verilator, which is free and very fast - sometimes faster than
Modelsim. If you do, please share your testbenches/compilation scripts.

Sébastien

___
Devel mailing list
Devel@lists.milkymist.org
https://ssl.serverraum.org/lists/listinfo/devel


Re: [M-Labs devel] LM32 testbench files modelsim compile error

2014-01-10 Thread Salman Sheikh
Okay, I got that compiled but I am not able to get the simulation running
on modelsim. I need to change the Makefile (or better yet understand what
vvp equivalent is for Modelsim)

Salman



On Fri, Jan 10, 2014 at 5:08 AM, yann  wrote:

> Le 2014-01-09 22:28, Salman Sheikh a écrit :
>
>> I am trying to compile the lm32 testbench which I got from here
>>
>>  https://github.com/m-labs/lm32/tree/master/rtl [1]
>>
>>
>> with the Modelsim verilog compiler (vsim) and all files compiled
>> except this lm32_itlb.v
>>
>> I got a complaint about the variable flushing. I see a flush input but
>> none for flushing.
>> Perhaps it only works in iverilog...
>>
>> vlog +incdir+../rtl ../rtl/lm32_itlb.v
>> Model Technology ModelSim PE vlog 10.1b Compiler 2012.04 Apr 27 2012
>> -- Compiling module lm32_itlb
>> ** Error: ../rtl/lm32_itlb.v(194): (vlog-2730) Undefined variable:
>> 'flushing'.
>>
>> Any clues why
>>
>> --
>>
>> Even a Smile is charity :)
>> - Prophet Muhammad
>>
>>
>>
>> Links:
>> --
>> [1] https://github.com/m-labs/lm32/tree/master/rtl
>>
>
> Hello,
>
> Thanks for reporting this issue :)
>
> Indeed it's a problem, you need to add in lm32_itlb.v a "wire flushing;"
> line somewhere.
>
> In iverilog, it works, because iverilog assumes that any non declared
> variable is a one bit wire.
> It seems Modelsim does not do the same :)
>
> I will propose a patch soon for that to commit on the github repository.
> In the mean time please try with adding the previously mentioned line
>
> Best regards,
>
> Yann Sionneau
>



-- 
Even a Smile is charity :)
- Prophet Muhammad
___
Devel mailing list
Devel@lists.milkymist.org
https://ssl.serverraum.org/lists/listinfo/devel


Re: [M-Labs devel] LM32 testbench files modelsim compile error

2014-01-10 Thread yann

Le 2014-01-09 22:28, Salman Sheikh a écrit :

I am trying to compile the lm32 testbench which I got from here

 https://github.com/m-labs/lm32/tree/master/rtl [1]

with the Modelsim verilog compiler (vsim) and all files compiled
except this lm32_itlb.v 

I got a complaint about the variable flushing. I see a flush input but
none for flushing.
Perhaps it only works in iverilog...

vlog +incdir+../rtl ../rtl/lm32_itlb.v
Model Technology ModelSim PE vlog 10.1b Compiler 2012.04 Apr 27 2012
-- Compiling module lm32_itlb
** Error: ../rtl/lm32_itlb.v(194): (vlog-2730) Undefined variable:
'flushing'.

Any clues why

--

Even a Smile is charity :)
- Prophet Muhammad



Links:
--
[1] https://github.com/m-labs/lm32/tree/master/rtl


Hello,

Thanks for reporting this issue :)

Indeed it's a problem, you need to add in lm32_itlb.v a "wire flushing;" 
line somewhere.


In iverilog, it works, because iverilog assumes that any non declared 
variable is a one bit wire.

It seems Modelsim does not do the same :)

I will propose a patch soon for that to commit on the github repository.
In the mean time please try with adding the previously mentioned line

Best regards,

Yann Sionneau
___
Devel mailing list
Devel@lists.milkymist.org
https://ssl.serverraum.org/lists/listinfo/devel


Re: [M-Labs devel] LM32 testbench files modelsim compile error

2014-01-10 Thread Michael Walle

Am 2014-01-09 22:28, schrieb Salman Sheikh:

I am trying to compile the lm32 testbench which I got from here

 https://github.com/m-labs/lm32/tree/master/rtl [1]

with the Modelsim verilog compiler (vsim) and all files compiled
except this lm32_itlb.v 

I got a complaint about the variable flushing. I see a flush input 
but

none for flushing.
Perhaps it only works in iverilog...

vlog +incdir+../rtl ../rtl/lm32_itlb.v
Model Technology ModelSim PE vlog 10.1b Compiler 2012.04 Apr 27 2012
-- Compiling module lm32_itlb
** Error: ../rtl/lm32_itlb.v(194): (vlog-2730) Undefined variable:
flushing.

Any clues why



please try to move the "assign flushing = state[1]" statement above 
line 194.


-michael

___
Devel mailing list
Devel@lists.milkymist.org
https://ssl.serverraum.org/lists/listinfo/devel


[M-Labs devel] LM32 testbench files modelsim compile error

2014-01-09 Thread Salman Sheikh
I am trying to compile the lm32 testbench which I got from here

https://github.com/m-labs/lm32/tree/master/rtl


with the Modelsim verilog compiler (vsim) and all files compiled except
this lm32_itlb.v

I got a complaint about the variable flushing. I see a flush input but none
for flushing.
Perhaps it only works in iverilog...

vlog +incdir+../rtl ../rtl/lm32_itlb.v
Model Technology ModelSim PE vlog 10.1b Compiler 2012.04 Apr 27 2012
-- Compiling module lm32_itlb
** Error: ../rtl/lm32_itlb.v(194): (vlog-2730) Undefined variable:
'flushing'.

Any clues why

-- 
Even a Smile is charity :)
- Prophet Muhammad
___
Devel mailing list
Devel@lists.milkymist.org
https://ssl.serverraum.org/lists/listinfo/devel