[Emc-users] Running LinuxCnc without Mesa cards

2013-03-02 Thread Marius Liebenberg
Hi,
I need to do a lot of changes to a customer's system that has 5i23 and 
other cards installed. I dont have a similar installation to work on so 
my question is, is there a way to run LinuxCnc or just start it up 
without functionality, without having the 5i23 installed. Something like 
a dummy component. I need to make sure all the HAL connections are made 
and are to the right pins. Once that is done I can take the files to 
their system and test.

-- 
Regards / Groete

Marius D. Liebenberg
MasterCut cc
Cel: +27 82 698 3251
Tel: +27 12 743 6064
Fax: +27 86 551 8029
Skype: marius_d.liebenberg
Skype Me^(TM)! skype:marius_d.liebenberg?call
Get Skype http://www.skype.com/go/download and call me for free.




---
avast! Antivirus: Outbound message clean.
Virus Database (VPS): 130301-1, 2013/03/01
Tested on: 2013/03/02 10:13:09 AM
avast! - copyright (c) 1988-2013 AVAST Software.
http://www.avast.com


--
Everyone hates slow websites. So do we.
Make your web apps faster with AppDynamics
Download AppDynamics Lite for free today:
http://p.sf.net/sfu/appdyn_d2d_feb
___
Emc-users mailing list
Emc-users@lists.sourceforge.net
https://lists.sourceforge.net/lists/listinfo/emc-users


Re: [Emc-users] bldc/axis homing

2013-03-02 Thread Todd Zuercher
I may be having a similar problem.  I am using home to index on a ordinary 
rotary servo machine and the first time I try to home after starting Linuxcnc, 
one axis always seems to set a following a error, If I try to home it a second 
time it will home fine?  I am not using bldc, so it might be possible that your 
following error on homing problem could be completely unrelated to bldc using 
index to initialize.  What is more odd is that I only seem to have a problem 
with one axis (out of 4) doing it.  I think Andy might be on the right track 
with thinking it may have something to do with the counts resetting to zero 
when the index is tripped for the first time.  But the question still remains, 
what to do about it?

- Original Message -
Hello everybody,

I would like to somehow Home the bldc linear motor using axis GUI home button. 
I am using bldc in qi mode, so it has to be homed using bldc.init (separate 
from axis GUI). But on the other side bldc and axis has to be homed 
simultaneously because of index-enable signal and latching of encoder value. So 
i have to somehow trick the axis. Any idea how could i do that?

One of my idea was to use the axis.homing - bldc-init to start the bldc homing 
and at at the same time temporary change the axis.f-error-lim value (so that 
axis homing won't stop because of following error). But i could not find the 
axis.f-error-lim INPUT pin. Is there some other option to temporary change the 
f-error limit value?

Is there any other option how to home the axis and bldc?
Regards,
Klemen
--
Everyone hates slow websites. So do we.
Make your web apps faster with AppDynamics
Download AppDynamics Lite for free today:
http://p.sf.net/sfu/appdyn_d2d_feb
___
Emc-users mailing list
Emc-users@lists.sourceforge.net
https://lists.sourceforge.net/lists/listinfo/emc-users

-- 


Todd Zuercher
mailto:zuerc...@embarqmail.com



--
Everyone hates slow websites. So do we.
Make your web apps faster with AppDynamics
Download AppDynamics Lite for free today:
http://p.sf.net/sfu/appdyn_d2d_feb
___
Emc-users mailing list
Emc-users@lists.sourceforge.net
https://lists.sourceforge.net/lists/listinfo/emc-users


Re: [Emc-users] fpga epp data transfer - strange behaviour

2013-03-02 Thread Anders Wallin
Is the Count value going to zero during those glitches? Could you monitor
ResetCounterValue also during your test?
Could there be some mechanism that causes noise on ResetCounterValue only
when you count down?
--
Everyone hates slow websites. So do we.
Make your web apps faster with AppDynamics
Download AppDynamics Lite for free today:
http://p.sf.net/sfu/appdyn_d2d_feb
___
Emc-users mailing list
Emc-users@lists.sourceforge.net
https://lists.sourceforge.net/lists/listinfo/emc-users


Re: [Emc-users] Running LinuxCnc without Mesa cards

2013-03-02 Thread Anders Wallin
On Sat, Mar 2, 2013 at 10:13 AM, Marius Liebenberg
mar...@mastercut.co.zawrote:

 Hi,
 I need to do a lot of changes to a customer's system that has 5i23 and
 other cards installed. I dont have a similar installation to work on so
 my question is, is there a way to run LinuxCnc or just start it up
 without functionality, without having the 5i23 installed. Something like
 a dummy component. I need to make sure all the HAL connections are made
 and are to the right pins. Once that is done I can take the files to
 their system and test.


No, AFAIK.

A build-option where the HAL driver could be built in a simulator mode
would be nice.
All the HAL-pins would be exposed and available for monitoring, but no real
hardware would be required.

AW
--
Everyone hates slow websites. So do we.
Make your web apps faster with AppDynamics
Download AppDynamics Lite for free today:
http://p.sf.net/sfu/appdyn_d2d_feb
___
Emc-users mailing list
Emc-users@lists.sourceforge.net
https://lists.sourceforge.net/lists/listinfo/emc-users


Re: [Emc-users] Running LinuxCnc without Mesa cards

2013-03-02 Thread Michael Haberler

Am 02.03.2013 um 11:27 schrieb Anders Wallin:

 On Sat, Mar 2, 2013 at 10:13 AM, Marius Liebenberg
 mar...@mastercut.co.zawrote:
 
 Hi,
 I need to do a lot of changes to a customer's system that has 5i23 and
 other cards installed. I dont have a similar installation to work on so
 my question is, is there a way to run LinuxCnc or just start it up
 without functionality, without having the 5i23 installed. Something like
 a dummy component. I need to make sure all the HAL connections are made
 and are to the right pins. Once that is done I can take the files to
 their system and test.
 
 
 No, AFAIK.
 
 A build-option where the HAL driver could be built in a simulator mode
 would be nice.
 All the HAL-pins would be exposed and available for monitoring, but no real
 hardware would be required.

just emulating the pins with say a Python userland HAL component would be easy 

that wouldnt help beyond loading though since the behaviour would have to be 
emulated too or it would be of rather limited use - the first dependency on an 
output pin having some non-default value would stop the simulation in its tracks

That problem has a $153 solution - which you'd want to put into proportion to 
the cost of writing a simulator including behavior

-m




 
 AW
 --
 Everyone hates slow websites. So do we.
 Make your web apps faster with AppDynamics
 Download AppDynamics Lite for free today:
 http://p.sf.net/sfu/appdyn_d2d_feb
 ___
 Emc-users mailing list
 Emc-users@lists.sourceforge.net
 https://lists.sourceforge.net/lists/listinfo/emc-users


--
Everyone hates slow websites. So do we.
Make your web apps faster with AppDynamics
Download AppDynamics Lite for free today:
http://p.sf.net/sfu/appdyn_d2d_feb
___
Emc-users mailing list
Emc-users@lists.sourceforge.net
https://lists.sourceforge.net/lists/listinfo/emc-users


Re: [Emc-users] Running LinuxCnc without Mesa cards

2013-03-02 Thread Marius Liebenberg
Hi Micheal,
I hear you. The problem is that I have to keep a machine just for that 
hardware. Also it has more than one 5i23. I suppose I will have to do 
that anyway. The 5i23's will end up being used somewhere so it all 
starts again :)

On 2013/03/02 12:41 PM, Michael Haberler wrote:
 Am 02.03.2013 um 11:27 schrieb Anders Wallin:

 On Sat, Mar 2, 2013 at 10:13 AM, Marius Liebenberg
 mar...@mastercut.co.zawrote:

 Hi,
 I need to do a lot of changes to a customer's system that has 5i23 and
 other cards installed. I dont have a similar installation to work on so
 my question is, is there a way to run LinuxCnc or just start it up
 without functionality, without having the 5i23 installed. Something like
 a dummy component. I need to make sure all the HAL connections are made
 and are to the right pins. Once that is done I can take the files to
 their system and test.

 No, AFAIK.

 A build-option where the HAL driver could be built in a simulator mode
 would be nice.
 All the HAL-pins would be exposed and available for monitoring, but no real
 hardware would be required.
 just emulating the pins with say a Python userland HAL component would be easy

 that wouldnt help beyond loading though since the behaviour would have to be 
 emulated too or it would be of rather limited use - the first dependency on 
 an output pin having some non-default value would stop the simulation in its 
 tracks

 That problem has a $153 solution - which you'd want to put into proportion to 
 the cost of writing a simulator including behavior

 -m




 AW
 --
 Everyone hates slow websites. So do we.
 Make your web apps faster with AppDynamics
 Download AppDynamics Lite for free today:
 http://p.sf.net/sfu/appdyn_d2d_feb
 ___
 Emc-users mailing list
 Emc-users@lists.sourceforge.net
 https://lists.sourceforge.net/lists/listinfo/emc-users
 --
 Everyone hates slow websites. So do we.
 Make your web apps faster with AppDynamics
 Download AppDynamics Lite for free today:
 http://p.sf.net/sfu/appdyn_d2d_feb
 ___
 Emc-users mailing list
 Emc-users@lists.sourceforge.net
 https://lists.sourceforge.net/lists/listinfo/emc-users


-- 
Regards / Groete

Marius D. Liebenberg
MasterCut cc
Cel: +27 82 698 3251
Tel: +27 12 743 6064
Fax: +27 86 551 8029
Skype: marius_d.liebenberg
Skype Me^(TM)! skype:marius_d.liebenberg?call
Get Skype http://www.skype.com/go/download and call me for free.




---
avast! Antivirus: Outbound message clean.
Virus Database (VPS): 130302-0, 2013/03/02
Tested on: 2013/03/02 01:50:54 PM
avast! - copyright (c) 1988-2013 AVAST Software.
http://www.avast.com


--
Everyone hates slow websites. So do we.
Make your web apps faster with AppDynamics
Download AppDynamics Lite for free today:
http://p.sf.net/sfu/appdyn_d2d_feb
___
Emc-users mailing list
Emc-users@lists.sourceforge.net
https://lists.sourceforge.net/lists/listinfo/emc-users


Re: [Emc-users] fpga epp data transfer - strange behaviour

2013-03-02 Thread Klemen Dovrtel
No, the count value at glitch is changing, at list last bits, it has value: BIN 
0011   . It seems the counter is not reset, because 
after the glitch the value does not jump to 0 and stays there, but continues to 
gradually fall/rise from value before the glitch. 

Regards, Klemen





 From: Anders Wallin anders.e.e.wal...@gmail.com
To: Klemen Dovrtel klemen_dovr...@yahoo.com; Enhanced Machine Controller 
(EMC) emc-users@lists.sourceforge.net 
Sent: Saturday, March 2, 2013 11:25 AM
Subject: Re: [Emc-users] fpga epp data transfer - strange behaviour
 

Is the Count value going to zero during those glitches? Could you monitor 
ResetCounterValue also during your test?
Could there be some mechanism that causes noise on ResetCounterValue only when 
you count down?
--
Everyone hates slow websites. So do we.
Make your web apps faster with AppDynamics
Download AppDynamics Lite for free today:
http://p.sf.net/sfu/appdyn_d2d_feb
___
Emc-users mailing list
Emc-users@lists.sourceforge.net
https://lists.sourceforge.net/lists/listinfo/emc-users


Re: [Emc-users] fpga epp data transfer - strange behaviour

2013-03-02 Thread Jan de Kruyf
You get illegal noise states from your hardware, causing illegal
transitions in your logic.

For a solution look at page 13 of this document:

http://www.avagotech.com/docs/AV02-0096EN

j.


On Sat, Mar 2, 2013 at 9:23 AM, Klemen Dovrtel klemen_dovr...@yahoo.comwrote:

 Hello everybody,

 I am using fpga to monitor the incremental encoder and transfer the axis
 absolute position to pc using parallel port and epp communication. When I
 run the Axis GUI I am getting some strange following errors. I found out
 that occasionally i get some bad axis position data transfer from the fpga.
 But what is very strange that this happens only when the axis moves in
 negative direction and never when it moves positive direction or stays
 still (please see the image attached)). So i thought there must be some bug
 in pfga encoder, not in epp communication, but i have no idea what could
 possibly be wrong - the code is very simple (i pasted it below).

 I increase the servo-thread period, but the result was the same. I also
 tried to locate a certain position of axis when the data is bad, and i
 could not do this - it seems this is happening randomly.

 Does anybody have some idea where to look for the error?

 Regards,
 Klemen





 --

 library IEEE;
 use IEEE.STD_LOGIC_1164.ALL;
 --use IEEE.STD_LOGIC_ARITH.ALL;
 use IEEE.STD_LOGIC_SIGNED.ALL;

 -- Uncomment the following library declaration if using
 -- arithmetic functions with Signed or Unsigned values
 --use IEEE.NUMERIC_STD.ALL;

 -- Uncomment the following library declaration if instantiating
 -- any Xilinx primitives in this code.
 --library UNISIM;
 --use UNISIM.VComponents.all;



 entity QuadCounter is
 Port ( clk : in std_logic;--system clock
  QuadA : in std_logic;--first input from quadrature device
 (i.e. optical disk encoder)

   QuadB : in std_logic;--second input from quadrature device (i.e.
 optical disk encoder)
  CounterValue : out std_logic_vector(31 downto 0);
  ResetCounterValue : in std_logic
 );
 end QuadCounter;

 architecture Behavioral of QuadCounter is

 signal Counter : std_logic_vector(31 downto 0) := (others = '0') ;

 -- previos quad signal
 signal QuadAprevious : std_logic := '0';
 signal QuadBprevious : std_logic := '0';



  signal state : std_logic_vector(3 downto 0) := ;
 -- ??initial
  state, MUST be set, or FF/Latch state_1 (without init value)
 has a constant value of 0 in block QuadDecoder. This FF/Latch
 will be trimmed during the optimization process.
 -- state deffinitons
 constant Wait0 : std_logic_vector(3 downto 0) := ;
 constant CountUp0 : std_logic_vector(3 downto 0):= 0001;
 constant CountDown0 : std_logic_vector(3 downto 0) := 0010;
 constant Wait1 : std_logic_vector(3 downto 0) := 0101;
 constant CountUp1 : std_logic_vector(3 downto 0):= 0111;
 constant CountDown1 : std_logic_vector(3 downto 0) := 0100;
 constant Wait2 : std_logic_vector(3 downto 0) :=
  1010;
 constant CountUp2 : std_logic_vector(3 downto 0):= 1000;
 constant CountDown2 : std_logic_vector(3 downto 0) := 1011;
 constant Wait3 : std_logic_vector(3 downto 0) := ;
 constant CountUp3 : std_logic_vector(3 downto 0):= 1110;
 constant CountDown3 : std_logic_vector(3 downto 0) := 1101;

 begin
 process(clk)
 begin
 if rising_edge(clk) then
 state = QuadAprevious  QuadBprevious  QuadA  QuadB;

 case state is
 when
  Wait0|Wait1|Wait2|Wait3 =
 -- do nothing
 when CountUp0|CountUp1|CountUp2|CountUp3 =
 Counter = Counter + 1;
 when CountDown0|CountDown1|CountDown2|CountDown3 =
 Counter = Counter - 1;
 when others =
 -- ERROR
 end case; --state


 if (ResetCounterValue = '1') then
 Counter = (others = '0');
 end if;

 CounterValue = Counter;
 QuadAprevious = QuadA;
 QuadBprevious = QuadB;
 end if;

 end process; --(clk)

 end Behavioral;

 --
 Everyone hates slow websites. So do we.
 Make your web apps faster with AppDynamics
 Download AppDynamics Lite for free today:
 http://p.sf.net/sfu/appdyn_d2d_feb
 ___
 Emc-users mailing list
 Emc-users@lists.sourceforge.net
 https://lists.sourceforge.net/lists/listinfo/emc-users


--
Everyone hates slow websites. So do we.
Make your web apps faster with AppDynamics
Download AppDynamics Lite for free today:
http://p.sf.net/sfu/appdyn_d2d_feb

[Emc-users] Shizuoka Four Axis on eBay

2013-03-02 Thread Roland Jollivet
Hey, I've just seen an idea on that machine, if it is what I think it is

I been pondering for a long time on what to do about a sloppy quill in
order to CNC it, and it looks like it might have been done on this machine.

Instead of trying to snug up the quill, mill/grind the front of the machine
to be parallel with the quill. Now you can mount linear slides and a plate
that grabs the quill at the bottom!

Regards
Roland



On 2 March 2013 01:10, Kirk Wallace kwall...@wallacecompany.com wrote:

 http://www.ebay.com/itm/251235604390

 Also here too:
 http://sacramento.craigslist.org/tls/3640202006.html

 I already have one and there is no space left, shucks.
 --
 Kirk Wallace
 http://www.wallacecompany.com/machine_shop/
 http://www.wallacecompany.com/E45/index.html
 California, USA



 --
 Everyone hates slow websites. So do we.
 Make your web apps faster with AppDynamics
 Download AppDynamics Lite for free today:
 http://p.sf.net/sfu/appdyn_d2d_feb
 ___
 Emc-users mailing list
 Emc-users@lists.sourceforge.net
 https://lists.sourceforge.net/lists/listinfo/emc-users

--
Everyone hates slow websites. So do we.
Make your web apps faster with AppDynamics
Download AppDynamics Lite for free today:
http://p.sf.net/sfu/appdyn_d2d_feb
___
Emc-users mailing list
Emc-users@lists.sourceforge.net
https://lists.sourceforge.net/lists/listinfo/emc-users


Re: [Emc-users] Shizuoka Four Axis on eBay

2013-03-02 Thread andy pugh
On 2 March 2013 15:10, Roland Jollivet roland.jolli...@gmail.com wrote:

 Instead of trying to snug up the quill, mill/grind the front of the machine
 to be parallel with the quill. Now you can mount linear slides and a plate
 that grabs the quill at the bottom!

I think what you are seeing is just the way that the CNC feed has been
coupled to the quill.

However, that doesn't mean that your idea isn't a good one.

-- 
atp
If you can't fix it, you don't own it.
http://www.ifixit.com/Manifesto

--
Everyone hates slow websites. So do we.
Make your web apps faster with AppDynamics
Download AppDynamics Lite for free today:
http://p.sf.net/sfu/appdyn_d2d_feb
___
Emc-users mailing list
Emc-users@lists.sourceforge.net
https://lists.sourceforge.net/lists/listinfo/emc-users


Re: [Emc-users] bldc/axis homing

2013-03-02 Thread Peter C. Wallace
On Fri, 1 Mar 2013, Todd  Zuercher wrote:

 Date: Fri, 1 Mar 2013 07:52:22 -0500 (EST)
 From: Todd  Zuercher zuerc...@embarqmail.com
 Reply-To: Enhanced Machine Controller (EMC)
 emc-users@lists.sourceforge.net
 To: Klemen Dovrtel klemen_dovr...@yahoo.com,
 Enhanced Machine Controller (EMC) emc-users@lists.sourceforge.net
 Subject: Re: [Emc-users] bldc/axis homing
 
 I may be having a similar problem.  I am using home to index on a ordinary 
 rotary servo machine and the first time I try to home after starting 
 Linuxcnc, one axis always seems to set a following a error, If I try to home 
 it a second time it will home fine?  I am not using bldc, so it might be 
 possible that your following error on homing problem could be completely 
 unrelated to bldc using index to initialize.  What is more odd is that I 
 only seem to have a problem with one axis (out of 4) doing it.  I think Andy 
 might be on the right track with thinking it may have something to do with 
 the counts resetting to zero when the index is tripped for the first time. 
 But the question still remains, what to do about it?



If you have reasonably late LinuxCNC version, the PID component has an input 
for index-enable which works arond the thump on index problem, heres the 
manual page info about this pin:

  pid.N.index-enable bit in
   On  the  falling  edge  of index-enable, pid does not update the
   internal command derivative estimate.  On systems which use  the
   encoder  index pulse, this pin should be connected to the index-
   enable signal.  When this is not done, and  FF1  is  nonzero,  a
   step  change in the input command causes a single-cycle spike in
   the PID output.  On systems which use exactly one of the  -deriv
   inputs, this affects the D term as well.


Todd Zuercher
mailto:zuerc...@embarqmail.com


Peter Wallace
Mesa Electronics

(\__/)
(='.'=) This is Bunny. Copy and paste bunny into your
()_() signature to help him gain world domination.


--
Everyone hates slow websites. So do we.
Make your web apps faster with AppDynamics
Download AppDynamics Lite for free today:
http://p.sf.net/sfu/appdyn_d2d_feb
___
Emc-users mailing list
Emc-users@lists.sourceforge.net
https://lists.sourceforge.net/lists/listinfo/emc-users


Re: [Emc-users] fpga epp data transfer - strange behaviour

2013-03-02 Thread Peter C. Wallace
On Fri, 1 Mar 2013, Klemen Dovrtel wrote:

 Date: Fri, 1 Mar 2013 23:23:48 -0800 (PST)
 From: Klemen Dovrtel klemen_dovr...@yahoo.com
 To: Enhanced Machine Controller (EMC) emc-users@lists.sourceforge.net
 Subject: [Emc-users] fpga epp data transfer - strange behaviour
 
 Hello everybody,

I am using fpga to monitor the incremental encoder and transfer the axis 
absolute position to pc using parallel port and epp communication. When I run 
the Axis GUI I am getting some strange following errors. I found out that 
occasionally i get some bad axis position data transfer from the fpga. But 
what is very strange that this happens only when the axis moves in negative 
direction and never when it moves positive direction or stays still (please 
see the image attached)). So i thought there must be some bug in pfga encoder, 
not in epp communication, but i have no idea what could possibly be wrong - 
the code is very simple (i pasted it below).

I increase the servo-thread period, but the result was the same. I also tried 
to locate a certain position of axis when the data is bad, and i could not do 
this - it seems this is happening randomly.

Does anybody have some idea where to look for the error?

Regards,
Klemen

My first guess is that you are not sampling the 32 bit count atomically, That 
is, with the EPP transfers you are reading the count as 4 individual bytes so 
you must latch all 32 bits of the count at the beginning of the 4 byte 
transfer so that you dont have scrambled data in your 32 bit count if the 
count happens to change when reading.



Peter Wallace
Mesa Electronics

(\__/)
(='.'=) This is Bunny. Copy and paste bunny into your
()_() signature to help him gain world domination.


--
Everyone hates slow websites. So do we.
Make your web apps faster with AppDynamics
Download AppDynamics Lite for free today:
http://p.sf.net/sfu/appdyn_d2d_feb
___
Emc-users mailing list
Emc-users@lists.sourceforge.net
https://lists.sourceforge.net/lists/listinfo/emc-users


Re: [Emc-users] Shizuoka Four Axis on eBay

2013-03-02 Thread Kirk Wallace
On Sat, 2013-03-02 at 15:36 +, andy pugh wrote:
 On 2 March 2013 15:10, Roland Jollivet roland.jolli...@gmail.com wrote:
 
  Instead of trying to snug up the quill, mill/grind the front of the machine
  to be parallel with the quill. Now you can mount linear slides and a plate
  that grabs the quill at the bottom!
 
 I think what you are seeing is just the way that the CNC feed has been
 coupled to the quill.
 
 However, that doesn't mean that your idea isn't a good one.
 

My Shizuoka, and I suspect the mill on eBay, are just like a Bridgeport.
The quill has a post that travels in a slot in the front of the housing.
A ball screw drives the post. I've seen Bridgeport conversions that
drive the post, and others that have a clamp added to the bottom of the
quill. It's hard to find a place on the Bridgeport to mount the motor
and belt and still have access to the head adjustments. This is another
example of how converting an existing CNC machine is much easier and
cheaper than converting a manual machine. For my Bridgeport and
Cincinnati mill, I am hoping to make a new head that replaces the quill
with a rigid spindle which mounts to a dovetail slide between the
spindle and ram.
-- 
Kirk Wallace
http://www.wallacecompany.com/machine_shop/
http://www.wallacecompany.com/E45/index.html
California, USA


--
Everyone hates slow websites. So do we.
Make your web apps faster with AppDynamics
Download AppDynamics Lite for free today:
http://p.sf.net/sfu/appdyn_d2d_feb
___
Emc-users mailing list
Emc-users@lists.sourceforge.net
https://lists.sourceforge.net/lists/listinfo/emc-users


Re: [Emc-users] fpga epp data transfer - strange behaviour

2013-03-02 Thread Klemen Dovrtel
I think this can not be the reason, this would not trigger glitches only while 
decreasing the encoder value. My epp data transfer is started with address 
write which triggers the sampling and latching of encoder position value and 
all other signals values. The following data read/write are then dealing only 
with latched values which can not change during the data transfer. 


Redards, Klemen




 From: Peter C. Wallace p...@mesanet.com
To: Enhanced Machine Controller (EMC) emc-users@lists.sourceforge.net 
Sent: Saturday, March 2, 2013 4:45 PM
Subject: Re: [Emc-users] fpga epp data transfer - strange behaviour
 
On Fri, 1 Mar 2013, Klemen Dovrtel wrote:

 Date: Fri, 1 Mar 2013 23:23:48 -0800 (PST)
 From: Klemen Dovrtel klemen_dovr...@yahoo.com
 To: Enhanced Machine Controller (EMC) emc-users@lists.sourceforge.net
 Subject: [Emc-users] fpga epp data transfer - strange behaviour
 
 Hello everybody,

I am using fpga to monitor the incremental encoder and transfer the axis 
absolute position to pc using parallel port and epp communication. When I run 
the Axis GUI I am getting some strange following errors. I found out that 
occasionally i get some bad axis position data transfer from the fpga. But 
what is very strange that this happens only when the axis moves in negative 
direction and never when it moves positive direction or stays still (please 
see the image attached)). So i thought there must be some bug in pfga encoder, 
not in epp communication, but i have no idea what could possibly be wrong - 
the code is very simple (i pasted it below).

I increase the servo-thread period, but the result was the same. I also tried 
to locate a certain position of axis when the data is bad, and i could not do 
this - it seems this is happening randomly.

Does anybody have some idea where to look for the error?

Regards,
Klemen

My first guess is that you are not sampling the 32 bit count atomically, That 
is, with the EPP transfers you are reading the count as 4 individual bytes so 
you must latch all 32 bits of the count at the beginning of the 4 byte 
transfer so that you dont have scrambled data in your 32 bit count if the 
count happens to change when reading.



Peter Wallace
Mesa Electronics

(\__/)
(='.'=) This is Bunny. Copy and paste bunny into your
()_() signature to help him gain world domination.


--
Everyone hates slow websites. So do we.
Make your web apps faster with AppDynamics
Download AppDynamics Lite for free today:
http://p.sf.net/sfu/appdyn_d2d_feb
___
Emc-users mailing list
Emc-users@lists.sourceforge.net
https://lists.sourceforge.net/lists/listinfo/emc-users
--
Everyone hates slow websites. So do we.
Make your web apps faster with AppDynamics
Download AppDynamics Lite for free today:
http://p.sf.net/sfu/appdyn_d2d_feb
___
Emc-users mailing list
Emc-users@lists.sourceforge.net
https://lists.sourceforge.net/lists/listinfo/emc-users


Re: [Emc-users] fpga epp data transfer - strange behaviour

2013-03-02 Thread Peter C. Wallace
On Sat, 2 Mar 2013, Klemen Dovrtel wrote:

 Date: Sat, 2 Mar 2013 09:38:34 -0800 (PST)
 From: Klemen Dovrtel klemen_dovr...@yahoo.com
 To: Enhanced Machine Controller (EMC) emc-users@lists.sourceforge.net
 Subject: Re: [Emc-users] fpga epp data transfer - strange behaviour
 
 I think this can not be the reason, this would not trigger glitches only 
 while decreasing the encoder value. My epp data transfer is started with 
 address write which triggers the sampling and latching of encoder position 
 value and all other signals values. The following data read/write are then 
 dealing only with latched values which can not change during the data 
 transfer.


 Redards, Klemen

OK thats good

There is also a mistake in the counter logic related to sampling external 
asynchronous signals (QuadA and QuadB) in multiple places (this will cause 
occasional wrong states when an input is changing whan sampled)

But if you have big transient errors, I would look at the EPP interface. In 
our EPP interface we required digital filters on control signals as the 
signals from the parallel port (especially with a long cable) are quite slow 
and dirty relative to the FPGAs clock speeds.





 
 From: Peter C. Wallace p...@mesanet.com
 To: Enhanced Machine Controller (EMC) emc-users@lists.sourceforge.net
 Sent: Saturday, March 2, 2013 4:45 PM
 Subject: Re: [Emc-users] fpga epp data transfer - strange behaviour

 On Fri, 1 Mar 2013, Klemen Dovrtel wrote:

 Date: Fri, 1 Mar 2013 23:23:48 -0800 (PST)
 From: Klemen Dovrtel klemen_dovr...@yahoo.com
 To: Enhanced Machine Controller (EMC) emc-users@lists.sourceforge.net
 Subject: [Emc-users] fpga epp data transfer - strange behaviour

 Hello everybody,

 I am using fpga to monitor the incremental encoder and transfer the axis
 absolute position to pc using parallel port and epp communication. When I run
 the Axis GUI I am getting some strange following errors. I found out that
 occasionally i get some bad axis position data transfer from the fpga. But
 what is very strange that this happens only when the axis moves in negative
 direction and never when it moves positive direction or stays still (please
 see the image attached)). So i thought there must be some bug in pfga encoder,
 not in epp communication, but i have no idea what could possibly be wrong -
 the code is very simple (i pasted it below).

 I increase the servo-thread period, but the result was the same. I also tried
 to locate a certain position of axis when the data is bad, and i could not do
 this - it seems this is happening randomly.

 Does anybody have some idea where to look for the error?

 Regards,
 Klemen

 My first guess is that you are not sampling the 32 bit count atomically, That
 is, with the EPP transfers you are reading the count as 4 individual bytes so
 you must latch all 32 bits of the count at the beginning of the 4 byte
 transfer so that you dont have scrambled data in your 32 bit count if the
 count happens to change when reading.



 Peter Wallace
 Mesa Electronics

 (\__/)
 (='.'=) This is Bunny. Copy and paste bunny into your
 ()_() signature to help him gain world domination.


 --
 Everyone hates slow websites. So do we.
 Make your web apps faster with AppDynamics
 Download AppDynamics Lite for free today:
 http://p.sf.net/sfu/appdyn_d2d_feb
 ___
 Emc-users mailing list
 Emc-users@lists.sourceforge.net
 https://lists.sourceforge.net/lists/listinfo/emc-users
 --
 Everyone hates slow websites. So do we.
 Make your web apps faster with AppDynamics
 Download AppDynamics Lite for free today:
 http://p.sf.net/sfu/appdyn_d2d_feb
 ___
 Emc-users mailing list
 Emc-users@lists.sourceforge.net
 https://lists.sourceforge.net/lists/listinfo/emc-users


Peter Wallace
Mesa Electronics

(\__/)
(='.'=) This is Bunny. Copy and paste bunny into your
()_() signature to help him gain world domination.


--
Everyone hates slow websites. So do we.
Make your web apps faster with AppDynamics
Download AppDynamics Lite for free today:
http://p.sf.net/sfu/appdyn_d2d_feb
___
Emc-users mailing list
Emc-users@lists.sourceforge.net
https://lists.sourceforge.net/lists/listinfo/emc-users


Re: [Emc-users] fpga epp data transfer - strange behaviour

2013-03-02 Thread Jon Elson
Klemen Dovrtel wrote:
 Hello everybody,

 I am using fpga to monitor the incremental encoder and transfer the axis 
 absolute position to pc using parallel port and epp communication. When I run 
 the Axis GUI I am getting some strange following errors. I found out that 
 occasionally i get some bad axis position data transfer from the fpga. But 
 what is very strange that this happens only when the axis moves in negative 
 direction and never when it moves positive direction or stays still (please 
 see the image attached)). So i thought there must be some bug in pfga 
 encoder, not in epp communication, but i have no idea what could possibly be 
 wrong - the code is very simple (i pasted it below).

   
Maybe you should read out the individual bytes of the position report 
and put them in a
file.  One possibility is that you are not freezing a valid n-byte 
count before reading
out the individual bytes of the count over the EPP port.  The Pico 
Systems boards,
and I'll bet the Mesa boards, too, have a holding register that freezes 
all 24-bit
position counts for all axes before reading them a byte at a time.  I don't
see such a holding register in your VHDL.

That's just one guess as to the cause.

Jon

--
Everyone hates slow websites. So do we.
Make your web apps faster with AppDynamics
Download AppDynamics Lite for free today:
http://p.sf.net/sfu/appdyn_d2d_feb
___
Emc-users mailing list
Emc-users@lists.sourceforge.net
https://lists.sourceforge.net/lists/listinfo/emc-users


Re: [Emc-users] fpga epp data transfer - strange behaviour

2013-03-02 Thread Klemen Dovrtel
I see, if i understand this correctly, i should add a two-stage synchronizer to 
latch the data from QuadA/B before sampling with counter logic?

Ok, thank you for the suggestions, i will try to add some filters, as suggested 
also by Jan de Kruyf.

Regards, Klemen






 From: Peter C. Wallace p...@mesanet.com
To: Klemen Dovrtel klemen_dovr...@yahoo.com; Enhanced Machine Controller 
(EMC) emc-users@lists.sourceforge.net 
Sent: Saturday, March 2, 2013 7:14 PM
Subject: Re: [Emc-users] fpga epp data transfer - strange behaviour
 
On Sat, 2 Mar 2013, Klemen Dovrtel wrote:

 Date: Sat, 2 Mar 2013 09:38:34 -0800 (PST)
 From: Klemen Dovrtel klemen_dovr...@yahoo.com
 To: Enhanced Machine Controller (EMC) emc-users@lists.sourceforge.net
 Subject: Re: [Emc-users] fpga epp data transfer - strange behaviour
 
 I think this can not be the reason, this would not trigger glitches only 
 while decreasing the encoder value. My epp data transfer is started with 
 address write which triggers the sampling and latching of encoder position 
 value and all other signals values. The following data read/write are then 
 dealing only with latched values which can not change during the data 
 transfer.


 Redards, Klemen

OK thats good

There is also a mistake in the counter logic related to sampling external 
asynchronous signals (QuadA and QuadB) in multiple places (this will cause 
occasional wrong states when an input is changing whan sampled)

But if you have big transient errors, I would look at the EPP interface. In 
our EPP interface we required digital filters on control signals as the 
signals from the parallel port (especially with a long cable) are quite slow 
and dirty relative to the FPGAs clock speeds.





 
 From: Peter C. Wallace p...@mesanet.com
 To: Enhanced Machine Controller (EMC) emc-users@lists.sourceforge.net
 Sent: Saturday, March 2, 2013 4:45 PM
 Subject: Re: [Emc-users] fpga epp data transfer - strange behaviour

 On Fri, 1 Mar 2013, Klemen Dovrtel wrote:

 Date: Fri, 1 Mar 2013 23:23:48 -0800 (PST)
 From: Klemen Dovrtel klemen_dovr...@yahoo.com
 To: Enhanced Machine Controller (EMC) emc-users@lists.sourceforge.net
 Subject: [Emc-users] fpga epp data transfer - strange behaviour

 Hello everybody,

 I am using fpga to monitor the incremental encoder and transfer the axis
 absolute position to pc using parallel port and epp communication. When I run
 the Axis GUI I am getting some strange following errors. I found out that
 occasionally i get some bad axis position data transfer from the fpga. But
 what is very strange that this happens only when the axis moves in negative
 direction and never when it moves positive direction or stays still (please
 see the image attached)). So i thought there must be some bug in pfga encoder,
 not in epp communication, but i have no idea what could possibly be wrong -
 the code is very simple (i pasted it below).

 I increase the servo-thread period, but the result was the same. I also tried
 to locate a certain position of axis when the data is bad, and i could not do
 this - it seems this is happening randomly.

 Does anybody have some idea where to look for the error?

 Regards,
 Klemen

 My first guess is that you are not sampling the 32 bit count atomically, That
 is, with the EPP transfers you are reading the count as 4 individual bytes so
 you must latch all 32 bits of the count at the beginning of the 4 byte
 transfer so that you dont have scrambled data in your 32 bit count if the
 count happens to change when reading.



 Peter Wallace
 Mesa Electronics

 (\__/)
 (='.'=) This is Bunny. Copy and paste bunny into your
 ()_() signature to help him gain world domination.


 --
 Everyone hates slow websites. So do we.
 Make your web apps faster with AppDynamics
 Download AppDynamics Lite for free today:
 http://p.sf.net/sfu/appdyn_d2d_feb
 ___
 Emc-users mailing list
 Emc-users@lists.sourceforge.net
 https://lists.sourceforge.net/lists/listinfo/emc-users
 --
 Everyone hates slow websites. So do we.
 Make your web apps faster with AppDynamics
 Download AppDynamics Lite for free today:
 http://p.sf.net/sfu/appdyn_d2d_feb
 ___
 Emc-users mailing list
 Emc-users@lists.sourceforge.net
 https://lists.sourceforge.net/lists/listinfo/emc-users


Peter Wallace
Mesa Electronics

(\__/)
(='.'=) This is Bunny. Copy and paste bunny into your
()_() signature to help him gain world domination.
--
Everyone hates slow websites. So do we.
Make your web apps faster with AppDynamics
Download AppDynamics Lite for free today:
http://p.sf.net/sfu/appdyn_d2d_feb
___

[Emc-users] Need PC and Mesa card recommendations

2013-03-02 Thread Roger Neal
I have a Bridgeport with Anilam Crusader II control, control is acting 
dead so it's time for an LinuxCNC upgrade.

I did a Anilam lathe to EMC2 conversion a few years back so I'm somewhat 
familiar with what needs to be done.

My lathe conversion used the Mesa 5i20 board, I think that's all that 
was available at the time.

For the mill, I want the existing 3 axis plus VFD speed control, 
possibly a future spindle encoder for rigid tapping.  I would also like 
the possibility of adding a 4th or even 5th axis in the future.

What Mesa boards would work for this?

What PC or motherboard would work best with LinuxCNC plus the Mesa boards?

Thanks!

Roger Neal


--
Everyone hates slow websites. So do we.
Make your web apps faster with AppDynamics
Download AppDynamics Lite for free today:
http://p.sf.net/sfu/appdyn_d2d_feb
___
Emc-users mailing list
Emc-users@lists.sourceforge.net
https://lists.sourceforge.net/lists/listinfo/emc-users


Re: [Emc-users] fpga epp data transfer - strange behaviour

2013-03-02 Thread Jan de Kruyf
It is quite correct to latch the data, before reading out in byte chunks,
so no roll over occurs in the hi byte going from 00FF to 0100 for instance,
if there is a count pulse during reading . I forgot about that part.

j.


On Sat, Mar 2, 2013 at 8:57 PM, Klemen Dovrtel klemen_dovr...@yahoo.comwrote:

 I see, if i understand this correctly, i should add a two-stage
 synchronizer to latch the data from QuadA/B before sampling with counter
 logic?

 Ok, thank you for the suggestions, i will try to add some filters, as
 suggested also by Jan de Kruyf.

 Regards, Klemen





 
  From: Peter C. Wallace p...@mesanet.com
 To: Klemen Dovrtel klemen_dovr...@yahoo.com; Enhanced Machine
 Controller (EMC) emc-users@lists.sourceforge.net
 Sent: Saturday, March 2, 2013 7:14 PM
 Subject: Re: [Emc-users] fpga epp data transfer - strange behaviour

 On Sat, 2 Mar 2013, Klemen Dovrtel wrote:

  Date: Sat, 2 Mar 2013 09:38:34 -0800 (PST)
  From: Klemen Dovrtel klemen_dovr...@yahoo.com
  To: Enhanced Machine Controller (EMC) emc-users@lists.sourceforge.net
 
  Subject: Re: [Emc-users] fpga epp data transfer - strange behaviour
 
  I think this can not be the reason, this would not trigger glitches only
  while decreasing the encoder value. My epp data transfer is started with
  address write which triggers the sampling and latching of encoder
 position
  value and all other signals values. The following data read/write are
 then
  dealing only with latched values which can not change during the data
  transfer.
 
 
  Redards, Klemen
 
 OK thats good

 There is also a mistake in the counter logic related to sampling external
 asynchronous signals (QuadA and QuadB) in multiple places (this will cause
 occasional wrong states when an input is changing whan sampled)

 But if you have big transient errors, I would look at the EPP interface. In
 our EPP interface we required digital filters on control signals as the
 signals from the parallel port (especially with a long cable) are quite
 slow
 and dirty relative to the FPGAs clock speeds.



 
 
  
  From: Peter C. Wallace p...@mesanet.com
  To: Enhanced Machine Controller (EMC) emc-users@lists.sourceforge.net
  Sent: Saturday, March 2, 2013 4:45 PM
  Subject: Re: [Emc-users] fpga epp data transfer - strange behaviour
 
  On Fri, 1 Mar 2013, Klemen Dovrtel wrote:
 
  Date: Fri, 1 Mar 2013 23:23:48 -0800 (PST)
  From: Klemen Dovrtel klemen_dovr...@yahoo.com
  To: Enhanced Machine Controller (EMC) 
 emc-users@lists.sourceforge.net
  Subject: [Emc-users] fpga epp data transfer - strange behaviour
 
  Hello everybody,
 
  I am using fpga to monitor the incremental encoder and transfer the axis
  absolute position to pc using parallel port and epp communication. When
 I run
  the Axis GUI I am getting some strange following errors. I found out that
  occasionally i get some bad axis position data transfer from the fpga.
 But
  what is very strange that this happens only when the axis moves in
 negative
  direction and never when it moves positive direction or stays still
 (please
  see the image attached)). So i thought there must be some bug in pfga
 encoder,
  not in epp communication, but i have no idea what could possibly be
 wrong -
  the code is very simple (i pasted it below).
 
  I increase the servo-thread period, but the result was the same. I also
 tried
  to locate a certain position of axis when the data is bad, and i could
 not do
  this - it seems this is happening randomly.
 
  Does anybody have some idea where to look for the error?
 
  Regards,
  Klemen
 
  My first guess is that you are not sampling the 32 bit count atomically,
 That
  is, with the EPP transfers you are reading the count as 4 individual
 bytes so
  you must latch all 32 bits of the count at the beginning of the 4 byte
  transfer so that you dont have scrambled data in your 32 bit count if the
  count happens to change when reading.
 
 
 
  Peter Wallace
  Mesa Electronics
 
  (\__/)
  (='.'=) This is Bunny. Copy and paste bunny into your
  ()_() signature to help him gain world domination.
 
 
 
 --
  Everyone hates slow websites. So do we.
  Make your web apps faster with AppDynamics
  Download AppDynamics Lite for free today:
  http://p.sf.net/sfu/appdyn_d2d_feb
  ___
  Emc-users mailing list
  Emc-users@lists.sourceforge.net
  https://lists.sourceforge.net/lists/listinfo/emc-users
 
 --
  Everyone hates slow websites. So do we.
  Make your web apps faster with AppDynamics
  Download AppDynamics Lite for free today:
  http://p.sf.net/sfu/appdyn_d2d_feb
  ___
  Emc-users mailing list
  Emc-users@lists.sourceforge.net
  https://lists.sourceforge.net/lists/listinfo/emc-users
 

 Peter Wallace
 Mesa 

Re: [Emc-users] fpga epp data transfer - strange behaviour

2013-03-02 Thread Peter C. Wallace
On Sat, 2 Mar 2013, Klemen Dovrtel wrote:

 Date: Sat, 2 Mar 2013 10:57:52 -0800 (PST)
 From: Klemen Dovrtel klemen_dovr...@yahoo.com
 To: Enhanced Machine Controller (EMC) emc-users@lists.sourceforge.net
 Subject: Re: [Emc-users] fpga epp data transfer - strange behaviour
 
 I see, if i understand this correctly, i should add a two-stage synchronizer 
 to latch the data from QuadA/B before sampling with counter logic?

Yes or a digital filter (or at least fix the double sampling of 
asynchronous data even though the synthesizer _may_ optimize it away)


 Ok, thank you for the suggestions, i will try to add some filters, as 
 suggested also by Jan de Kruyf.

 Regards, Klemen



Peter Wallace
Mesa Electronics

(\__/)
(='.'=) This is Bunny. Copy and paste bunny into your
()_() signature to help him gain world domination.


--
Everyone hates slow websites. So do we.
Make your web apps faster with AppDynamics
Download AppDynamics Lite for free today:
http://p.sf.net/sfu/appdyn_d2d_feb
___
Emc-users mailing list
Emc-users@lists.sourceforge.net
https://lists.sourceforge.net/lists/listinfo/emc-users


Re: [Emc-users] Shizuoka Four Axis on eBay

2013-03-02 Thread Drew Rogge
Does yours have the tool changer?

On 3/1/13 3:10 PM, Kirk Wallace wrote:
 http://www.ebay.com/itm/251235604390

 Also here too:
 http://sacramento.craigslist.org/tls/3640202006.html

 I already have one and there is no space left, shucks.


--
Everyone hates slow websites. So do we.
Make your web apps faster with AppDynamics
Download AppDynamics Lite for free today:
http://p.sf.net/sfu/appdyn_d2d_feb
___
Emc-users mailing list
Emc-users@lists.sourceforge.net
https://lists.sourceforge.net/lists/listinfo/emc-users


[Emc-users] GladeVCP Persistence On_Destroy sensitivity

2013-03-02 Thread Kip Shaffer
Anybody using GladeVCP with Persistence?  Did you have trouble getting
it to save settings?

It seems to me as though it is very sensitive to what widget the
'on_destroy' signal is attached.

The documentation does warn against attaching it to the 'window1'
object.  But I had terrible luck getting it to save_state when
LinuxCNC was shutting down.

I was able to figure out what it was trying to do by putting print
statements into
/usr/lib/pymodules/python2.6/gladevcp/persistence.py

What I found was that the getter() returned by get_value() would fail
when the window was being destroyed.

I resolved the problem by putting the 'on_destroy' signal on the same
widget that was being read first.  There is no way to determine which
widget will be first, other than by putting in print statements.

Has anyone else seen this behavior?

-Kip

--
Everyone hates slow websites. So do we.
Make your web apps faster with AppDynamics
Download AppDynamics Lite for free today:
http://p.sf.net/sfu/appdyn_d2d_feb
___
Emc-users mailing list
Emc-users@lists.sourceforge.net
https://lists.sourceforge.net/lists/listinfo/emc-users


Re: [Emc-users] Shizuoka Four Axis on eBay

2013-03-02 Thread Kirk Wallace
On Sat, 2013-03-02 at 15:29 -0800, Drew Rogge wrote:
 Does yours have the tool changer?

Yup,
http://www.wallacecompany.com/machine_shop/Shizuoka/00030-1a.jpg 
http://www.wallacecompany.com/machine_shop/Shizuoka/ 


-- 
Kirk Wallace
http://www.wallacecompany.com/machine_shop/
http://www.wallacecompany.com/E45/index.html
California, USA


--
Everyone hates slow websites. So do we.
Make your web apps faster with AppDynamics
Download AppDynamics Lite for free today:
http://p.sf.net/sfu/appdyn_d2d_feb
___
Emc-users mailing list
Emc-users@lists.sourceforge.net
https://lists.sourceforge.net/lists/listinfo/emc-users


Re: [Emc-users] GladeVCP Persistence On_Destroy sensitivity

2013-03-02 Thread Michael Haberler

Am 03.03.2013 um 03:46 schrieb Kip Shaffer:

 Anybody using GladeVCP with Persistence?  Did you have trouble getting
 it to save settings?
 
 It seems to me as though it is very sensitive to what widget the
 'on_destroy' signal is attached.
 
 The documentation does warn against attaching it to the 'window1'
 object.  But I had terrible luck getting it to save_state when
 LinuxCNC was shutting down.

me too, and I wrote that code ;)

unfortunately there is more than one way to shut down a gladevcp application:

- you can kill the window which will get you a destroy event
- the linuxcnc script however sends a signal to all running parties

to catch the second scenario, see 
http://www.linuxcnc.org/docs/devel/html/gui/gladevcp.html#_saving_state_when_ctrl_c_is_pressed


 
 I was able to figure out what it was trying to do by putting print
 statements into
 /usr/lib/pymodules/python2.6/gladevcp/persistence.py
 
 What I found was that the getter() returned by get_value() would fail
 when the window was being destroyed.

yes, it's a chicken-and-egg problem - persistence.py cant do anything anymore 
if it is called too late in the shutdown process (eg if the widgets are already 
destroyed there's no way to retrieve their state anymore)

let me know how it goes

- Michael
 
 I resolved the problem by putting the 'on_destroy' signal on the same
 widget that was being read first.  There is no way to determine which
 widget will be first, other than by putting in print statements.
 
 Has anyone else seen this behavior?
 
 -Kip
 
 --
 Everyone hates slow websites. So do we.
 Make your web apps faster with AppDynamics
 Download AppDynamics Lite for free today:
 http://p.sf.net/sfu/appdyn_d2d_feb
 ___
 Emc-users mailing list
 Emc-users@lists.sourceforge.net
 https://lists.sourceforge.net/lists/listinfo/emc-users


--
Everyone hates slow websites. So do we.
Make your web apps faster with AppDynamics
Download AppDynamics Lite for free today:
http://p.sf.net/sfu/appdyn_d2d_feb
___
Emc-users mailing list
Emc-users@lists.sourceforge.net
https://lists.sourceforge.net/lists/listinfo/emc-users


Re: [Emc-users] GladeVCP Persistence On_Destroy sensitivity

2013-03-02 Thread Michael Haberler
following up to myself:

The issue goes down a bit deeper, and it's about the notion of controlled 
shutdown

This is a dark corner of LinuxCNC, especially with respect to time-to-estop for 
connected hardware, and it warrants some thought and work. Unfortunately I dont 
have a quick answer for you, but let me sketch it out:

- either HAL or RTAPI's session concept needs to be firmed up, this is a very 
loosely defined area as of now
- what is lacking is a defined sequence of operations which happens at shutdown 
(for whatever reason that would be); that needs to work reliably regardless of 
the underlying RT infrastructure (read: _lots_ of testing, and automated 
please; very likely external hardware is needed to verify results)

I have looked into the issue in the past, more with an eye towards fast estop, 
and the gist was: if it is too work fast, the shutdown sequence must be aware 
of the hardware it is using; for instance, the fastest, lowest-impact method to 
shutdown a Mesa card was to remove the watchdog function from the thread which 
got the time windows down to a watchdog period. So shutdown is fast or 
portable, tick either one. It is not an issue which can be solved en passant 
with a quick fix; it needs a  bit of a plan and some systematic work which 
doesnt immediately yield results.

I know this doesnt yet help you at the application level yet and part of the 
issue with gladevcp and signals is that it is, well, a signal, which always has 
been a touchy vehicle for critical sequences. In case the on_unix_signal method 
turns out to be insufficiently reliable, what could help in the gladevcp case 
is to adapt signal handling like so:

- use a signal file descriptor (signalfd)
- watch the signal file descriptor in the gladevcp main loop and associate an 
event handler with it
- this will deliver a signal in-line with other gtk events to the Python code, 
and in a controlled order, hopefully making it more reliable

I know the above is technobabble - in the faint hope somebody other than me 
picks up the work

It is needed though I think - gladevcp use is mutating from a widget add-on to 
a primary UI vehicle.

- Michael



Am 03.03.2013 um 08:06 schrieb Michael Haberler:

 
 Am 03.03.2013 um 03:46 schrieb Kip Shaffer:
 
 Anybody using GladeVCP with Persistence?  Did you have trouble getting
 it to save settings?
 


--
Everyone hates slow websites. So do we.
Make your web apps faster with AppDynamics
Download AppDynamics Lite for free today:
http://p.sf.net/sfu/appdyn_d2d_feb
___
Emc-users mailing list
Emc-users@lists.sourceforge.net
https://lists.sourceforge.net/lists/listinfo/emc-users