Re: [Freeipa-devel] [PATCH] 872 allow csr file to be provided interactively

2011-09-14 Thread Martin Kosek
On Wed, 2011-09-14 at 11:29 -0400, Rob Crittenden wrote: > Martin Kosek wrote: > > On Wed, 2011-09-14 at 14:23 +0200, Martin Kosek wrote: > >> On Tue, 2011-09-13 at 14:35 -0400, Rob Crittenden wrote: > >>> Add an escape clause to the CSR validator in the cert plugin. If the csr > >>> is a file just

Re: [Freeipa-devel] [PATCH] 872 allow csr file to be provided interactively

2011-09-14 Thread Rob Crittenden
Martin Kosek wrote: On Wed, 2011-09-14 at 14:23 +0200, Martin Kosek wrote: On Tue, 2011-09-13 at 14:35 -0400, Rob Crittenden wrote: Add an escape clause to the CSR validator in the cert plugin. If the csr is a file just return and let the load_files() call slurp in the contents. It will still g

Re: [Freeipa-devel] [PATCH] 872 allow csr file to be provided interactively

2011-09-14 Thread Martin Kosek
On Wed, 2011-09-14 at 14:23 +0200, Martin Kosek wrote: > On Tue, 2011-09-13 at 14:35 -0400, Rob Crittenden wrote: > > Add an escape clause to the CSR validator in the cert plugin. If the csr > > is a file just return and let the load_files() call slurp in the > > contents. It will still get valid

Re: [Freeipa-devel] [PATCH] 872 allow csr file to be provided interactively

2011-09-14 Thread Martin Kosek
On Tue, 2011-09-13 at 14:35 -0400, Rob Crittenden wrote: > Add an escape clause to the CSR validator in the cert plugin. If the csr > is a file just return and let the load_files() call slurp in the > contents. It will still get validated. > > rob This works fine for CSR file. Shouldn't we fix