Re: [Ghdl-discuss] GHDL possible bug: 1-cell array initialization

2013-11-30 Thread Adrien Prost-Boucle
On Sat, 2013-11-30 at 12:48 +, Brian Drummond wrote: The other thing you could try for the fsm is a 64-bit build of ghdl, on a machine with at least 8 GB of physical RAM. Serious gcc users regard 16GB as not too large for some purposes. I would start with the source and build process from

Re: [Ghdl-discuss] GHDL possible bug: 1-cell array initialization

2013-11-30 Thread Brian Drummond
On Sat, 2013-11-30 at 16:12 +0100, Adrien Prost-Boucle wrote: On Sat, 2013-11-30 at 12:48 +, Brian Drummond wrote: The other thing you could try for the fsm is a 64-bit build of ghdl, on a machine with at least 8 GB of physical RAM. Argh However I still feel that much memory is way

Re: [Ghdl-discuss] GHDL possible bug: 1-cell array initialization

2013-11-28 Thread David Koontz
On 29 Nov 2013, at 5:39 am, Adrien Prost-Boucle adrien.prost-bou...@laposte.net wrote: - The genarated circuits are intended to be synthesizable (and I synthesized many other generated apps, analyzed hardware resource usage, built resource usage estimators in the HLS tool, successfully

Re: [Ghdl-discuss] GHDL possible bug: 1-cell array initialization

2013-11-28 Thread Brian Drummond
On Thu, 2013-11-28 at 17:19 +, David Koontz wrote: Using Nick's test bench I successfully ran the fsm model unchanged. It peaked at 630 MB memory utilization. ghdl -r fsm_test --stop-time=100ns Has a 10 ns clock period so 10 clocks. Reset released after 50 ns, all inputs set to '0'.

Re: [Ghdl-discuss] GHDL possible bug: 1-cell array initialization

2013-11-28 Thread David Koontz
On 29 Nov 2013, at 8:39 am, Brian Drummond br...@shapes.demon.co.uk wrote: So I think this one is worth reporting on https://gna.org/bugs/?group=ghdl with the note that the mcode version bypasses gcc's memory-hungry optimisation passes. (Makes me wonder if it's possible to build the

Re: [Ghdl-discuss] GHDL possible bug: 1-cell array initialization

2013-11-28 Thread Brian Drummond
On Fri, 2013-11-29 at 11:20 +1300, David Koontz wrote: On 29 Nov 2013, at 8:39 am, Brian Drummond br...@shapes.demon.co.uk wrote: Now if the mcode version compiles fsm.vhd just fine, this is pointing to the gcc middle and back end... Searching for the messages shows

Re: [Ghdl-discuss] GHDL possible bug: 1-cell array initialization

2013-11-28 Thread Adrien Prost-Boucle
First, for each oy you who tried to work on my vhd files, I'm ver sorry about the impossible line lengths!!! Indeed vhd files need to remain humanly readable and editable (I've myself read and manually corrected many of the huge vhd files I generate, with my favorite editor (geany) without any

Re: [Ghdl-discuss] GHDL possible bug: 1-cell array initialization

2013-11-28 Thread David Koontz
On 29 Nov 2013, at 12:34 pm, Adrien Prost-Boucle adrien.prost-bou...@laposte.net wrote: First, for each oy you who tried to work on my vhd files, I'm ver sorry about the impossible line lengths!!! Indeed vhd files need to remain humanly readable and editable (I've myself read and manually

Re: [Ghdl-discuss] GHDL possible bug: 1-cell array initialization

2013-11-27 Thread David Koontz
On 28 Nov 2013, at 6:38 am, Adrien adrien.prost-bou...@laposte.net wrote: As David Koontz suggested, I chose to append others = (others = '0') to the list of values, and it works. Thanks a million David for your great answer. I learnt something useful:) HOWEVER... When I say it

Re: [Ghdl-discuss] GHDL possible bug: 1-cell array initialization

2013-11-27 Thread whygee
Hi, just a few cents... Le 2013-11-28 07:59, David Koontz a écrit : On 28 Nov 2013, at 6:38 am, Adrien adrien.prost-bou...@laposte.net wrote: ghdl1: out of memory allocating 536870912 bytes after a total of 227868672 bytes ghdl: compilation error Notice there's a similar n=1 dimensional

[Ghdl-discuss] GHDL possible bug: 1-cell array initialization

2013-11-26 Thread Adrien
Hello, I am developing an HLS synthesis tool that outputs VHDL. In this context, I use a little testbench generator to test the generated circuits. For one of my applications, GHDL fails to compile the testbench an I believe this is an error. It is about this kind of declaration: constant

Re: [Ghdl-discuss] GHDL possible bug: 1-cell array initialization

2013-11-26 Thread whygee
Thanks for the analysis ! Le 2013-11-27 03:46, David Koontz a écrit : To paraphrase - 'VHDL isn't pretty.' but it is usually right ;-) YG ___ Ghdl-discuss mailing list Ghdl-discuss@gna.org https://mail.gna.org/listinfo/ghdl-discuss