I'm not sure this is the XML file. It looks like the traffic is going
from your block to the radio block controller because the outgoing SID
is not set properly.
However, the outgoing ctrl_iface SID is derived from the incoming
packet. Have you had other blocks work in the past?
Did it stop working when you added the argument?
And does it pass `uhd_usrp_probe`?

-- M

On 06/10/2018 06:54 AM, ishai alouche via USRP-users wrote:
> Hi all,
> 
> I use usrp X310 with the rfnocnodtool to create new block.
> My code include parameter of 24 bits that called phase_step. In the
> block I get the parameter as input and write it into register and then i
> use it in the code.
> My setup in the gnu radio is very simple as follow:
> 
> Transmitter (My new RFnoc block) --> throttle -> Sink 
> 
> I assume that the error is the definition of the parameter of one of my
> xml file.
> i attach here the 2 xml full file, but i will copy the mail only the
> relevant part from the xml file. 
> 
> the grc xml file is:
> 
> *self.$(id).set_arg("phase_step", $phase_step)
>   </make>
>   <callback>set_arg("phase_step", $phase_step)</callback>
> 
>   <!-- Make one 'param' node for every Parameter you want settable from
> the GUI.
>        Sub-nodes:
>        * name
>        * key (makes the value accessible as $keyname, e.g. in the make node)
>        * type -->
> 
>   <param>
>     <name>Phase step</name>
>     <key>phase_step</key>
>     <value>0</value>
>     <type>int</type>
>   </param>



> *
> *
> *
> The fpga src block xml is:
> *
> *
> *
> *
> *<?xml version="1.0"?>
> <!--Default XML file-->
> <nocblock>
>   <name>TXsource</name>
>   <blockname>TXsource</blockname>
>   <ids>
>     <id revision="0">A8B6127175994722</id>
>   </ids>
>   <!-- Registers -->
>   <registers>
>     <setreg>
>       <name>PHASE_STEP</name>
>       <address>128</address>
>     </setreg>
>   </registers>
>   <!-- Args -->
>   <args>
>     <arg>
>       <name>phase_step</name>
>       <type>int</type>
>       <value>0</value>
>       <action>SR_WRITE("PHASE_STEP", $phase_step)</action>
>     </arg>
>   </args>
>   <!--One input, one output. If this is used, better have all the info
> the C++ file.-->
>   <ports>
>     <sink>
>       <name>dump</name>
>     </sink>
>     <source>
>       <name>src</name>
>       <type>sc16</type>
>     </source>
>   </ports>
> </nocblock>
> *
> 
> When i run the test bench all is work fine, but after i burn the .bit
> file and i run thethe gnu radio with my block i get the following error:
> 
> *[ERROR] [UHD] Exception caught in safe-call.
>   in virtual ctrl_iface_impl::~ctrl_iface_impl()
>   at /home/user/rfnoc/src/uhd/host/lib/rfnoc/ctrl_iface.cpp:76
> this->peek32(0); -> EnvironmentError: IOError: Block ctrl
> (CE_00_Port_30) packet parse error - EnvironmentError: IOError: Expected
> SID: 02:30>00:00  Received SID: 02:60>00:00
> Traceback (most recent call last):
>   File "/home/user/rfnoc/Radar_proj/Design/top_block.py", line 238, in
> <module>
>     main()
>   File "/home/user/rfnoc/Radar_proj/Design/top_block.py", line 226, in main
>     tb = top_block_cls()
>   File "/home/user/rfnoc/Radar_proj/Design/top_block.py", line 65, in
> __init__
>     self.device3 = variable_uhd_device3_0 =
> ettus.device3(uhd.device_addr_t( ",".join(('type=x300', "")) ))
>   File
> "/home/user/rfnoc/lib/python2.7/dist-packages/ettus/ettus_swig.py", line
> 1299, in make
>     return _ettus_swig.device3_make(*args, **kwargs)
> RuntimeError: EnvironmentError: IOError: Block ctrl (CE_00_Port_30)
> packet parse error - EnvironmentError: IOError: Expected SID:
> 02:30>00:00  Received SID: 02:60>00:00*
> *
> *
> *
> *
> To me it's look that i don't define correct the xml file I try to change
> the type of the phase step to real but I get all the time the same error
> , can someone please help me with that.
> 
> Thank in advance
> Ishai
> 
> -- 
> ישי אלוש
> 054-5823400
> 
> 
> _______________________________________________
> USRP-users mailing list
> USRP-users@lists.ettus.com
> http://lists.ettus.com/mailman/listinfo/usrp-users_lists.ettus.com
> 


_______________________________________________
USRP-users mailing list
USRP-users@lists.ettus.com
http://lists.ettus.com/mailman/listinfo/usrp-users_lists.ettus.com

Reply via email to