You didn't say if removing the lines in crt.S and Makefile fix your issue.
Does it?

Le 2014-01-10 18:41, Salman Sheikh a écrit :
Now in that misoc/README you referenced, it mentioned installing
gcc...I am attempting to do so but I don't find a gcc-core and gcc-g++
but just one large (105MB) gcc file. I tried running configure and it
complained about needing these before proceeding, do they need any
special target options or just plain vanilla compile..

configure: error: Building GCC requires GMP 4.2+, MPFR 2.4.0+ and MPC
0.8.0+.

On Fri, Jan 10, 2014 at 12:37 PM, Yann Sionneau <y...@minet.net>
wrote:

Le 2014-01-10 18:34, Yann Sionneau a écrit :

answer inline:

Le 2014-01-10 18:20, Salman Sheikh a écrit :
Okay, got a new binutils installed and the 

make sim_hello_world spits out "Hello World"..

but the make sim_pipe1 doesn't seem to do anything on the screen
(no
output but no errors)...

but the make unittest fails....I suspect (and dread) I might have
to
recompile the entire gcc for lm32...its still using the
lm32-elf-gcc
from Lattice...

make unittest
make -C unittests check
make[1]: Entering directory
'/cygdrive/c/GMSAG/CPU_TESTING/lm32-master/test/unittests'
lm32-elf-gcc -x assembler -Wa,-I,. -c crt.S -o crt.o
crt.S: Assembler messages:
crt.S:88: Error: unrecognized keyword/register name `rcsr
r24,TLBVADDR'
crt.S:98: Error: unrecognized keyword/register name `rcsr
r24,TLBVADDR'
crt.S:108: Error: unrecognized keyword/register name `rcsr
r24,TLBVADDR'
Makefile:103: recipe for target 'crt.o' failed
make[1]: *** [crt.o] Error 1
make[1]: Leaving directory
'/cygdrive/c/GMSAG/CPU_TESTING/lm32-master/test/unittests'
Makefile:52: recipe for target 'unittest' failed
make: *** [unittest] Error 2

 Your binutils does not support lm32 MMU, you should at least use
binutils 2.23.2
 OR you can use binutils from my github repository:
 https://github.com/fallen/lm32-binutils-mmu [1] but I would strongly
 recommend using upstream binutils 2.23.2 or superior.

 OR if you don't want to use the MMU anyway, you can just remove the
 MMU unit test from the list by removing the lines 53 84 85 86 in
 https://github.com/m-labs/lm32/blob/master/test/unittests/Makefile
[2]

 That would be the fastest and cleanest solution for you if you don't
 care about unit testing the MMU :)

 I forgot to say to remove everything from line 86 in this file:
https://github.com/m-labs/lm32/blob/master/test/unittests/crt.S#L86
[3]

On Fri, Jan 10, 2014 at 11:06 AM, Sébastien Bourdeauducq
<sebastien.bourdeaud...@lekernel.net> wrote:

On 01/10/2014 05:08 PM, Yann Sionneau wrote:
issues with your lm32 objcopy not supporting the -O verilog
backend

Do those issues manifest themselves with a fresh binutils, or the
outdated Lattice binaries?
 _______________________________________________

 Devel mailing list
 Devel@lists.milkymist.org
 https://ssl.serverraum.org/lists/listinfo/devel [4]

 --
 Yann Sionneau

--

Even a Smile is charity :)
- Prophet Muhammad



Links:
------
[1] https://github.com/fallen/lm32-binutils-mmu
[2] https://github.com/m-labs/lm32/blob/master/test/unittests/Makefile
[3] https://github.com/m-labs/lm32/blob/master/test/unittests/crt.S#L86
[4] https://ssl.serverraum.org/lists/listinfo/devel

--
Yann Sionneau
_______________________________________________
Devel mailing list
Devel@lists.milkymist.org
https://ssl.serverraum.org/lists/listinfo/devel

Reply via email to