> ns-3 has no notion of processing delay as of now. 

Thanks Lalith for the information.
My problem is that an element with the following code (for testing purposes) 
influences my simulation results. If I comment the lines for scheduling, the 
simulation results are ok.
How can the following code influence the simulation result? The only thing the 
code does is configuring the scheduler for the next event. But the code does 
not produce packets for the simulation.
Could someone explanin me how a code that only schedules itself can influence 
the simulation?


int Foo::initialize( ErrorHandler * )
{
        _timer.initialize( this );
        uint32_t start = ( random() % _period );
        _timer.schedule_after_msec( start );
        return 0;
}

void Foo::run_timer(Timer *)
{
        int period = ( int ) ( _period * .95 + ( random() % ( _period / 10 ) ) 
);
        _timer.reschedule_after_msec( period );
}
_______________________________________________
click mailing list
click@amsterdam.lcs.mit.edu
https://amsterdam.lcs.mit.edu/mailman/listinfo/click

Reply via email to