Re: UPDATE: magic

2021-12-29 Thread Alessandro De Laurenzis

Hello Brad,

On 29/12/2021 01:04, Brad Smith wrote:

Hi Alessandro,

It looks like the issue mentioned in the Debian
bug report was fixed a bit under 2 years ago.



The issue with this port was very similar to that bug report, but the 
root cause identified when we initially imported cad/magic was a 
different Clang's pre-processor behavior w.r.t. GCC's one in line 
continuation symbol treatment.


I see that you added lang/python as dependency (very good catch!); my 
understanding is that when python is found during the config step, a 
pre-processing script is used instead of CPP (I didn't notice that in 
the past...), so this should solve the issue.


I would add a note in the commit log, in order to remember this point!

Regarding your diff, please see my comments in-line.


Can you please test this to make sure it works
as expected?


Index: Makefile
===
RCS file: /home/cvs/ports/cad/magic/Makefile,v
retrieving revision 1.6
diff -u -p -u -p -r1.6 Makefile
--- Makefile6 Mar 2021 11:59:59 -   1.6
+++ Makefile28 Dec 2021 22:53:21 -
@@ -2,6 +2,7 @@
  
  COMMENT =	interactive system for VLSI circuit layouts

  DISTNAME =magic-8.3.137
+REVISION = 0
  EXTRACT_SUFX =.tgz
  
  CATEGORIES =	cad

@@ -11,16 +12,17 @@ MAINTAINER =Alessandro De Laurenzis   
-WANTLIB =	${MODTK_WANTLIB} c cairo fontconfig freetype m GL GLU X11

+WANTLIB =  ${MODTK_WANTLIB} GL GLU X11 Xext Xi Xmu c cairo fontconfig \
+   freetype m
  
  MASTER_SITES =	http://opencircuitdesign.com/magic/archive/
  
-# The cpp preprocessor is used to generate .tech files; clang's breaks

-# newlines: https://bugs.debian.org/cgi-bin/bugreport.cgi?bug=698760
-COMPILER = ports-gcc
+COMPILER = base-clang ports-gcc
  COMPILER_LANGS = c
  
-MODULES =	x11/tk

+MODULES =  lang/python \
+   x11/tk
+MODPY_RUNDEP = No
  
  LIB_DEPENDS =	${MODTK_LIB_DEPENDS} \

graphics/cairo
@@ -31,16 +33,16 @@ WRKCONF =   ${WRKSRC}/scripts
  CONFIGURE_STYLE = gnu
  CONFIGURE_ARGS += --with-distdir=${PREFIX} \
--with-tcl=${MODTCL_LIBDIR} \
-   --with-tk=${MODTK_LIBDIR} \
-   --with-cairo=${LOCALBASE}/include \


Removing --with-cairo=${LOCALBASE}/include I see the following warnings 
during configure step:



checking cairo/cairo.h presence... no
configure: WARNING: cairo/cairo.h: accepted by the compiler, rejected by the 
preprocessor!
configure: WARNING: cairo/cairo.h: proceeding with the compiler's result


so I would like to preserve that line.


-   --enable-cairo-offscreen


This breaks OpenGL support, we definitely need that option.


+   --with-tk=${MODTK_LIBDIR}
+CONFIGURE_ENV +=   LDFLAGS="-L${LOCALBASE}/lib -L${X11BASE}/lib"
+
+CFLAGS +=  -I${LOCALBASE}/include -I${X11BASE}/include


The Clang compile log is much more noisy, so - considering that 
cad/magic codebase is very old - I would add also the following:



# Really really not C99
CFLAGS +=   -Wno-return-type -Wno-parentheses
  
  USE_GMAKE =	Yes
  
  NO_TEST =	Yes
  
  post-patch:

-   @${SUBST_CMD} ${WRKSRC}/scripts/configure
@${SUBST_CMD} ${WRKSRC}/utils/paths.h
  
  post-install:

Index: patches/patch-scripts_configure
===
RCS file: /home/cvs/ports/cad/magic/patches/patch-scripts_configure,v
retrieving revision 1.3
diff -u -p -u -p -r1.3 patch-scripts_configure
--- patches/patch-scripts_configure 6 Mar 2021 11:59:59 -   1.3
+++ patches/patch-scripts_configure 28 Dec 2021 21:50:55 -
@@ -21,20 +21,19 @@ Index: scripts/configure
 # Not available on all versions:  check for include file.
 ac_fn_c_check_header_mongrel "$LINENO" "dlfcn.h" "ac_cv_header_dlfcn_h" 
"$ac_includes_default"
   if test "x$ac_cv_header_dlfcn_h" = xyes; then :
-@@ -8050,6 +8050,12 @@ fi
+@@ -8050,6 +8050,11 @@ fi
 fi
 ;;
   
  +*-openbsd*)

  +  SHLIB_CFLAGS="-fPIC"
  +  LDDL_FLAGS="-shared ${LIB_SPEC}"
-+  CFLAGS="${CFLAGS} -I${X11BASE}/include"
  +  ;;
  +
   *-nextstep*)
 LDDL_FLAGS="-nostdlib -r"
 ;;
-@@ -9485,16 +9491,5 @@ else
+@@ -9485,16 +9490,5 @@ else
echo
  fi
   fi
I see that the line "BUILD_DEPENDS = devel/m4" got lost in one of the 
recent updates (but it is still needed AFAICS), so I would re-add it.


With the above modifications (except the additional CFLAGS line, which I 
consider optional), this is OK maintainer.


Please find attached a modified patch for your convenience. Adding 
ports@ in case other developers wants to chime in.


Also, I'm currently testing a newer upstream version, but that would 
require a bit more time.


All the best

-

Re: [UPDATE] x11/menumaker 0.99.12 -> 0.99.14

2021-12-08 Thread Alessandro De Laurenzis

(Bi-)weekly ping, diff reattached.

On 21/11/2021 11:29, Alessandro De Laurenzis wrote:

Greetings,

The attached diff updates x11/menumaker to the latest release.

Upstream, this is just a bug-fix release; no significant changes in port.

All the best



--
Alessandro De Laurenzis
[mailto:jus...@atlantide.mooo.com]
Web: http://www.atlantide.mooo.com
LinkedIn: http://it.linkedin.com/in/delaurenzis

menumaker.diff
Description: application/unknown-content-type


[UPDATE] x11/menumaker 0.99.12 -> 0.99.14

2021-11-21 Thread Alessandro De Laurenzis

Greetings,

The attached diff updates x11/menumaker to the latest release.

Upstream, this is just a bug-fix release; no significant changes in port.

All the best

--
Alessandro De Laurenzis
[mailto:jus...@atlantide.mooo.com]
Web: http://www.atlantide.mooo.com
LinkedIn: http://it.linkedin.com/in/delaurenzisIndex: Makefile
===
RCS file: /cvs/ports/x11/menumaker/Makefile,v
retrieving revision 1.9
diff -u -p -u -p -r1.9 Makefile
--- Makefile	2 Nov 2021 00:02:59 -	1.9
+++ Makefile	21 Nov 2021 10:29:17 -
@@ -1,9 +1,8 @@
 # $OpenBSD: Makefile,v 1.9 2021/11/02 00:02:59 sthen Exp $
 
 COMMENT =	menu generation utility for X window managers
-DISTNAME =	menumaker-0.99.12
+DISTNAME =	menumaker-0.99.14
 CATEGORIES =	x11
-REVISION =	0
 
 MASTER_SITES =	${MASTER_SITE_SOURCEFORGE:=menumaker/}
 
Index: distinfo
===
RCS file: /cvs/ports/x11/menumaker/distinfo,v
retrieving revision 1.3
diff -u -p -u -p -r1.3 distinfo
--- distinfo	14 Nov 2020 19:42:41 -	1.3
+++ distinfo	21 Nov 2021 10:29:17 -
@@ -1,2 +1,2 @@
-SHA256 (menumaker-0.99.12.tar.gz) = 46NWYYalqe1Nchwed4ilryQ8jIpFuW/m//PpRYAomww=
-SIZE (menumaker-0.99.12.tar.gz) = 206208
+SHA256 (menumaker-0.99.14.tar.gz) = EeldDnajuFD1ffgbxVYCIr1gFBxXUbbpvQXDzVVg1lo=
+SIZE (menumaker-0.99.14.tar.gz) = 205664


Re: make: don't know how to make .tgz (prerequisite of: _internal-package-only)

2021-08-01 Thread Alessandro De Laurenzis

Ciao Stuart,

Thanks for the feedback.

On 31/07/2021 17:20, Stuart Henderson wrote:
[...]


Check that whatever you use for gaining privs during ports building
is configured to pass the environment through. i.e. SUDO=sudo -E
or if you use doas then make sure it has "keepenv".



I followed the bsd.port.mk(5) man page, as per PORTS_PRIVSEP variable 
description, and port building is running ok since years (including 
'make package' of course) for ports that don't use FLAVORS...


From my /etc/doas.conf:


# Port/package management
permit keepenv nopass :pkgmgr as _pfetch
permit keepenv nopass :pkgmgr as _pbuild


and, of course, my normal user is in the 'pkgmgr' group.

This is my /etc/mk.conf:


# Common mk.conf entries
.include "/usr/ports/infrastructure/templates/mk.conf.template"

# Use doas for tasks requiring superuser permissions
SUDO = /usr/bin/doas

# Use dedicated users for fetching/building ports
PORTS_PRIVSEP = yes

# Instead of using temp files, gcc(1) uses pipes for the temporary data
PIPE = -pipe

# Force pkg_create to save a copy of the generated packing lists
PLIST_REPOSITORY = ${PORTSDIR}/plist

# Use a separate working directory (it helps keeping ports tree clean)
WRKOBJDIR = ${PORTSDIR}/pobj

# Use packages to resolve dependencies
FETCH_PACKAGES =

# Path used by dependencies and bsd.port.subdir.mk to look up
# package specifications
PORTSDIR_PATH = ${PORTSDIR}/mystuff:${PORTSDIR}


Still unable to build the package as normal user (I verified that 
running the target as root all is ok).


--
Alessandro De Laurenzis
[mailto:jus...@atlantide.mooo.com]
Web: http://www.atlantide.mooo.com
LinkedIn: http://it.linkedin.com/in/delaurenzis



Re: make: don't know how to make .tgz (prerequisite of: _internal-package-only)

2021-07-31 Thread Alessandro De Laurenzis

Hello Solène,

On 31/07/2021 15:53, Solène Rapenne wrote:

Le Sat, 31 Jul 2021 15:37:31 +0200,
Alessandro De Laurenzis  a écrit :


Greetings,

I'm trying to update math/eigen3 (which makes use of PSEUDO_FLAVORS).

Even using the version currently in the tree, when I try to make the
package, the following error is issued:


$ make package
make: don't know how to make
/usr/ports/packages/amd64/all//eigen3-3.2.2p5.tgz (prerequisite of:
_internal-package-only) Stop in . *** Error 2 in .
(/usr/ports/infrastructure/mk/bsd.port.mk:2623 '_internal-package')
*** Error 2 in /usr/ports/math/eigen3
(/usr/ports/infrastructure/mk/bsd.port.mk:2602 'package')


Could you please give me some hints? I read the FAQ (probably not
very carefully, I have to admit...), but I didn't find anything
relevant for this specific problem.

Cheers



hello, could you share the changes you did to the port?



As I wrote, I reported the error from the official port tree, no 
modifications at all (running just the usual sequence: "make makesum", 
"make checksum", "make fetch", "make patch", "make build" and "make 
package").


--
Alessandro De Laurenzis
[mailto:jus...@atlantide.mooo.com]
Web: http://www.atlantide.mooo.com
LinkedIn: http://it.linkedin.com/in/delaurenzis



make: don't know how to make .tgz (prerequisite of: _internal-package-only)

2021-07-31 Thread Alessandro De Laurenzis

Greetings,

I'm trying to update math/eigen3 (which makes use of PSEUDO_FLAVORS).

Even using the version currently in the tree, when I try to make the 
package, the following error is issued:



$ make package
make: don't know how to make /usr/ports/packages/amd64/all//eigen3-3.2.2p5.tgz 
(prerequisite of: _internal-package-only)
Stop in .
*** Error 2 in . (/usr/ports/infrastructure/mk/bsd.port.mk:2623 
'_internal-package')
*** Error 2 in /usr/ports/math/eigen3 
(/usr/ports/infrastructure/mk/bsd.port.mk:2602 'package')


Could you please give me some hints? I read the FAQ (probably not very 
carefully, I have to admit...), but I didn't find anything relevant for 
this specific problem.


Cheers

--
Alessandro De Laurenzis
[mailto:jus...@atlantide.mooo.com]
Web: http://www.atlantide.mooo.com
LinkedIn: http://it.linkedin.com/in/delaurenzis



[REMOVE] cad/qucs-s GUI broken

2021-07-25 Thread Alessandro De Laurenzis

Greetings,

We have already discussed this point (see e.g. [1]), but recently the 
QT4 subsystem got completely broken (at least, qucs-s GUI is now totally 
unusable: menus are messed up, no correspondence b/w component's symbols 
and names, empty pop-ups, ...)


Just to prove that it wasn't an issue of my system(s), I installed it in 
a brand new VM, seeing the same behavior.


In my opinion, keeping it into the tree doesn't make any sense (except 
if someone steps up to review what is going wrong, but that's not me: QT 
libraries are definitely beyond my skills...); and, considering that 
this port is the last surviving consumer for QT4, remaining pieces can 
be safely removed too.


All major Linux distributions and FreeBSD already removed both qucs (the 
parent project of this port) and QT4 since months; on the other hand, 
last commit in the official qucs-s tree is from Feb 2020, so it seems 
that there is low interest in evolving towards QT5, at least in the 
short run (qucs has a development branch almost compatible w/ QT5, so 
once ready, maybe qucs-s developers will find reasons to reconsider the 
transition).


Alternatives exist, too (xschem and kicad/eeschema come to mind, as I 
mentioned in my previous e-mail).


OK from maintainer if anyone wants to remove this port.

Cheers

[1]: https://marc.info/?l=openbsd-ports=161168750901727=2

--
Alessandro De Laurenzis
[mailto:jus...@atlantide.mooo.com]
Web: http://www.atlantide.mooo.com
LinkedIn: http://it.linkedin.com/in/delaurenzis



Re: OpenBSD ports: graywolf broken

2021-07-02 Thread Alessandro De Laurenzis
Weekly ping!

Il 28 giugno 2021 14:02:31 CEST, Alessandro De Laurenzis 
 ha scritto:
>Hello Jasper,
>
>There are indeed some header files named "dialog.h" spread into the 
>source code, causing a clash when /usr/local/include/dialog.h is
>present.
>
>I'm not an expert of the CMake ecosystem, but from a quick search on
>the 
>net, the usual solution to this kind of issues is to explicitly add the
>
>current source and build directories to the include path, by mean of
>the 
>CMAKE_INCLUDE_CURRENT_DIR variable.
>
>Please find enclosed a diff with bumped revision and re-based patches 
>(while there, I also fixed some indentations in the port's Makefile).
>
>Of course, alternative approach suggestions are welcome.
>
>Copying ports@
>
>On 27/06/2021 13:38, Jasper Lievisse Adriaanse wrote:
>> Hello,
>> 
>> I noticed that the cad/graywolf port doesn’t build when misc/dialog
>is installed:
>> 
>> [2/159] : && /usr/ports/pobj/graywolf-0.1.6/bin/cc -O2 -pipe  
>-Wno-return-type -DSIZEOF_VOID_P=64 -Wno-implicit-function-declaration
>-DNDEBUG  src/
>> date/CMakeFiles/getdate.dir/getdate.c.o -o src/date/getdate
>-L/usr/local/lib -Wl,-rpath-link,/usr/X11R6/lib:/usr/local/lib && :
>> [3/159] /usr/ports/pobj/graywolf-0.1.6/bin/cc  -I/usr/local/include
>-I/usr/ports/pobj/graywolf-0.1.6/graywolf-0.1.6/include -Iinclude
>-I/usr/ports/pobj/graywolf-0.1.6/graywolf-0.1.6/src/twmc/. -O2 -pipe  
>-Wno-return-type -DSIZEOF_VOID_P=64 -Wno-implicit-function-declaration
>-DNDEBUG -MD -MT src
>> /twmc/CMakeFiles/TimberWolfMC.dir/graphics.c.o -MF
>src/twmc/CMakeFiles/TimberWolfMC.dir/graphics.c.o.d -o
>src/twmc/CMakeFiles/TimberWolfMC.dir/graph
>> ics.c.o -c
>/usr/ports/pobj/graywolf-0.1.6/graywolf-0.1.6/src/twmc/graphics.c
>> FAILED: src/twmc/CMakeFiles/TimberWolfMC.dir/graphics.c.o
>> /usr/ports/pobj/graywolf-0.1.6/bin/cc  -I/usr/local/include
>-I/usr/ports/pobj/graywolf-0.1.6/graywolf-0.1.6/include -Iinclude
>-I/usr/ports/pobj/gray
>> wolf-0.1.6/graywolf-0.1.6/src/twmc/. -O2 -pipe   -Wno-return-type
>-DSIZEOF_VOID_P=64 -Wno-implicit-function-declaration -DNDEBUG -MD -MT
>src/twmc/CMakeFiles/TimberWolfMC.dir/graphics.c.o -MF
>src/twmc/CMakeFiles/TimberWolfMC.dir/graphics.c.o.d -o
>src/twmc/CMakeFiles/TimberWolfMC.dir/graphics.c.o -c
>/usr/ports/pobj/graywolf-0.1.6/graywolf-0.1.6/src/twmc/graphics.c  
>In file included from
>/usr/ports/pobj/graywolf-0.1.6/graywolf-0.1.6/src/twmc/graphics.c:137:
>> In file included from /usr/local/include/dialog.h:59:
>> /usr/include/ncurses.h:1216:32: error: expected identifier or '('
>> extern NCURSES_EXPORT_VAR(int) COLORS;
>>^
>> 
>> Could you have a look at this please?
>> 
>> Cheers,
>> Jasper
>> 
>
>-- 
>Alessandro De Laurenzis
>[mailto:jus...@atlantide.mooo.com]
>Web: http://www.atlantide.mooo.com
>LinkedIn: http://it.linkedin.com/in/delaurenzis

-- 
Inviato dal mio dispositivo Android con K-9 Mail. Perdonate la brevità.

Re: OpenBSD ports: graywolf broken

2021-06-28 Thread Alessandro De Laurenzis

Hello Jasper,

There are indeed some header files named "dialog.h" spread into the 
source code, causing a clash when /usr/local/include/dialog.h is present.


I'm not an expert of the CMake ecosystem, but from a quick search on the 
net, the usual solution to this kind of issues is to explicitly add the 
current source and build directories to the include path, by mean of the 
CMAKE_INCLUDE_CURRENT_DIR variable.


Please find enclosed a diff with bumped revision and re-based patches 
(while there, I also fixed some indentations in the port's Makefile).


Of course, alternative approach suggestions are welcome.

Copying ports@

On 27/06/2021 13:38, Jasper Lievisse Adriaanse wrote:

Hello,

I noticed that the cad/graywolf port doesn’t build when misc/dialog is 
installed:

[2/159] : && /usr/ports/pobj/graywolf-0.1.6/bin/cc -O2 -pipe   -Wno-return-type 
-DSIZEOF_VOID_P=64 -Wno-implicit-function-declaration -DNDEBUG  src/
date/CMakeFiles/getdate.dir/getdate.c.o -o src/date/getdate -L/usr/local/lib 
-Wl,-rpath-link,/usr/X11R6/lib:/usr/local/lib && :
[3/159] /usr/ports/pobj/graywolf-0.1.6/bin/cc  -I/usr/local/include 
-I/usr/ports/pobj/graywolf-0.1.6/graywolf-0.1.6/include -Iinclude 
-I/usr/ports/pobj/graywolf-0.1.6/graywolf-0.1.6/src/twmc/. -O2 -pipe   
-Wno-return-type -DSIZEOF_VOID_P=64 -Wno-implicit-function-declaration -DNDEBUG 
-MD -MT src
/twmc/CMakeFiles/TimberWolfMC.dir/graphics.c.o -MF 
src/twmc/CMakeFiles/TimberWolfMC.dir/graphics.c.o.d -o 
src/twmc/CMakeFiles/TimberWolfMC.dir/graph
ics.c.o -c /usr/ports/pobj/graywolf-0.1.6/graywolf-0.1.6/src/twmc/graphics.c
FAILED: src/twmc/CMakeFiles/TimberWolfMC.dir/graphics.c.o
/usr/ports/pobj/graywolf-0.1.6/bin/cc  -I/usr/local/include 
-I/usr/ports/pobj/graywolf-0.1.6/graywolf-0.1.6/include -Iinclude 
-I/usr/ports/pobj/gray
wolf-0.1.6/graywolf-0.1.6/src/twmc/. -O2 -pipe   -Wno-return-type 
-DSIZEOF_VOID_P=64 -Wno-implicit-function-declaration -DNDEBUG -MD -MT 
src/twmc/CMakeFiles/TimberWolfMC.dir/graphics.c.o -MF 
src/twmc/CMakeFiles/TimberWolfMC.dir/graphics.c.o.d -o 
src/twmc/CMakeFiles/TimberWolfMC.dir/graphics.c.o -c 
/usr/ports/pobj/graywolf-0.1.6/graywolf-0.1.6/src/twmc/graphics.c   
 In file 
included from 
/usr/ports/pobj/graywolf-0.1.6/graywolf-0.1.6/src/twmc/graphics.c:137:
In file included from /usr/local/include/dialog.h:59:
/usr/include/ncurses.h:1216:32: error: expected identifier or '('
extern NCURSES_EXPORT_VAR(int) COLORS;
   ^

Could you have a look at this please?

Cheers,
Jasper



--
Alessandro De Laurenzis
[mailto:jus...@atlantide.mooo.com]
Web: http://www.atlantide.mooo.com
LinkedIn: http://it.linkedin.com/in/delaurenzis
Index: Makefile
===
RCS file: /cvs/ports/cad/graywolf/Makefile,v
retrieving revision 1.4
diff -u -p -u -p -r1.4 Makefile
--- Makefile	20 Feb 2021 19:12:04 -	1.4
+++ Makefile	28 Jun 2021 11:56:04 -
@@ -5,7 +5,7 @@ COMMENT =	placement tool used in VLSI de
 GH_ACCOUNT =	rubund
 GH_PROJECT =	graywolf
 GH_TAGNAME =	0.1.6
-REVISION =	1
+REVISION =	2
 
 SHARED_LIBS +=  ycadgraywolf	0.0	# 0.0
 
@@ -14,7 +14,7 @@ CATEGORIES =	cad
 MAINTAINER =	Alessandro De Laurenzis 
 
 # GPLv2+
-PERMIT_PACKAGE =	Yes
+PERMIT_PACKAGE = Yes
 
 WANTLIB +=	ICE SM X11 Xext c gsl gslcblas m
 
@@ -23,7 +23,7 @@ MODULES =	devel/cmake
 LIB_DEPENDS =	devel/gsl
 
 # Really really not C99
-CONFIGURE_ARGS =	-DCMAKE_C_FLAGS="${CFLAGS} -Wno-return-type"
+CONFIGURE_ARGS = -DCMAKE_C_FLAGS="${CFLAGS} -Wno-return-type"
 
 NO_TEST =	Yes
 
Index: patches/patch-CMakeLists_txt
===
RCS file: /cvs/ports/cad/graywolf/patches/patch-CMakeLists_txt,v
retrieving revision 1.1.1.1
diff -u -p -u -p -r1.1.1.1 patch-CMakeLists_txt
--- patches/patch-CMakeLists_txt	28 Aug 2018 18:26:57 -	1.1.1.1
+++ patches/patch-CMakeLists_txt	28 Jun 2021 11:56:04 -
@@ -3,10 +3,11 @@ $OpenBSD: patch-CMakeLists_txt,v 1.1.1.1
 Index: CMakeLists.txt
 --- CMakeLists.txt.orig
 +++ CMakeLists.txt
-@@ -8,7 +8,10 @@ find_package(X11)
+@@ -8,7 +8,11 @@ find_package(X11)
  INCLUDE(CheckIncludeFiles)
  include(GNUInstallDirs)
  
++set(CMAKE_INCLUDE_CURRENT_DIR ON)
 +include_directories(${OPENBSD_LOCALBASE}/include)
 +link_directories(${OPENBSD_LOCALBASE}/lib)
  
@@ -14,7 +15,7 @@ Index: CMakeLists.txt
  pkg_check_modules(GSL gsl)
  
  if (NOT GSL_FOUND)
-@@ -36,7 +39,6 @@ CONFIGURE_FILE(${CMAKE_CURRENT_SOURCE_DIR}/config.h.in
+@@ -36,7 +40,6 @@ CONFIGURE_FILE(${CMAKE_CURRENT_SOURCE_DIR}/config.h.in
  
  
  install(DIRECTORY flow DESTINATION lib/graywolf/bin)


[FIX] lang/iverilog-11.0 requires a PLIST update

2021-05-23 Thread Alessandro De Laurenzis

Greetings,

With the latest lang/iverilog update, it seems that the 'update-plist' 
target hasn't been run; we ended up with some missing files (among 
others, vhdl_textio.vpi, which is required by recent cad/yosys test suite).


Diff attached (I bumped REVISION).

Cheers

--
Alessandro De Laurenzis
[mailto:jus...@atlantide.mooo.com]
Web: http://www.atlantide.mooo.com
LinkedIn: http://it.linkedin.com/in/delaurenzis
Index: Makefile
===
RCS file: /cvs/ports/lang/iverilog/Makefile,v
retrieving revision 1.22
diff -u -p -u -p -r1.22 Makefile
--- Makefile	5 Feb 2021 01:02:04 -	1.22
+++ Makefile	23 May 2021 15:25:01 -
@@ -4,6 +4,7 @@ COMMENT=		Verilog simulation and synthes
 
 V=			11.0
 DISTNAME=		verilog-$V
+REVISION=		0
 PKGNAME=		iverilog-$V
 CATEGORIES=		lang devel
 
Index: pkg/PLIST
===
RCS file: /cvs/ports/lang/iverilog/pkg/PLIST,v
retrieving revision 1.5
diff -u -p -u -p -r1.5 PLIST
--- pkg/PLIST	28 Oct 2019 08:18:37 -	1.5
+++ pkg/PLIST	23 May 2021 15:25:01 -
@@ -4,30 +4,52 @@ bin/iverilog-vpi
 @bin bin/vvp
 include/iverilog/
 include/iverilog/_pli_types.h
+include/iverilog/acc_user.h
+include/iverilog/ivl_target.h
+include/iverilog/sv_vpi_user.h
+include/iverilog/veriuser.h
+include/iverilog/vpi_user.h
 lib/ivl/
+lib/ivl/blif-s.conf
+lib/ivl/blif.conf
 @bin lib/ivl/blif.tgt
 @bin lib/ivl/cadpli.vpl
 lib/ivl/include/
+lib/ivl/include/constants.vams
+lib/ivl/include/disciplines.vams
 @bin lib/ivl/ivl
 @bin lib/ivl/ivlpp
+lib/ivl/null-s.conf
+lib/ivl/null.conf
 @bin lib/ivl/null.tgt
+lib/ivl/pcb-s.conf
+lib/ivl/pcb.conf
 @bin lib/ivl/pcb.tgt
+lib/ivl/sizer-s.conf
+lib/ivl/sizer.conf
 @bin lib/ivl/sizer.tgt
+lib/ivl/stub-s.conf
+lib/ivl/stub.conf
 @bin lib/ivl/stub.tgt
 @bin lib/ivl/system.vpi
 @bin lib/ivl/v2005_math.vpi
 @bin lib/ivl/v2009.vpi
 @bin lib/ivl/va_math.vpi
+lib/ivl/vhdl-s.conf
+lib/ivl/vhdl.conf
 @bin lib/ivl/vhdl.tgt
 @bin lib/ivl/vhdl_sys.vpi
+@bin lib/ivl/vhdl_textio.vpi
 @bin lib/ivl/vhdlpp
+lib/ivl/vlog95-s.conf
+lib/ivl/vlog95.conf
 @bin lib/ivl/vlog95.tgt
 @bin lib/ivl/vpi_debug.vpi
 lib/ivl/vvp-s.conf
 lib/ivl/vvp.conf
 @bin lib/ivl/vvp.tgt
-lib/libveriuser.a
-lib/libvpi.a
+@static-lib lib/libveriuser.a
+@static-lib lib/libvpi.a
 @man man/man1/iverilog-vpi.1
 @man man/man1/iverilog.1
 @man man/man1/vvp.1
@@ -46,6 +68,7 @@ share/doc/iverilog/ivlpp/
 share/doc/iverilog/ivlpp/ivlpp.txt
 share/doc/iverilog/lpm.txt
 @comment share/doc/iverilog/macosx.txt
+share/doc/iverilog/mingw-cross.txt
 @comment share/doc/iverilog/mingw.txt
 share/doc/iverilog/netlist.txt
 share/doc/iverilog/swift.txt


[UPDATE] cad/yosys 0.9p1 -> 0.9+4081

2021-05-23 Thread Alessandro De Laurenzis

Greetings,

The attached diff updates cad/yosys to a very recent commit.

This is the first update of this port since its addition to the tree; I 
was initially skeptical to jump away from the most recent tags 
(published in 2019...), but as matter of facts this project is very 
actively developed and more than 5000 commits have been made since then.


Simply, upstream is using an "internal" version tracking that is 
recorded into a variable in their Makefile.



What's new upstream
===
As said, a lot... see [1] for details.


What's new in the port
==
- version is now based on GH_COMMIT instead of GH_TAGNAME;

- slightly change port Makefile indentation;

- add zlib to WANTLIB;

- change COMPILER from "base-clang ports-gcc" to "base-clang 
ports-clang" to make the subsequent "make config-clang" in do-configure 
more consistent through all archs;


- patch upstream Makefile to remove "-lrt" and "-ldl" from LD_LIBS;

- usual patches and PLIST rebase.


Cheers

[1] https://github.com/YosysHQ/yosys/compare/yosys-0.9...master

--
Alessandro De Laurenzis
[mailto:jus...@atlantide.mooo.com]
Web: http://www.atlantide.mooo.com
LinkedIn: http://it.linkedin.com/in/delaurenzis
Index: Makefile
===
RCS file: /cvs/ports/cad/yosys/Makefile,v
retrieving revision 1.11
diff -u -p -u -p -r1.11 Makefile
--- Makefile	23 Feb 2021 19:39:10 -	1.11
+++ Makefile	23 May 2021 10:45:11 -
@@ -1,12 +1,11 @@
 # $OpenBSD: Makefile,v 1.11 2021/02/23 19:39:10 sthen Exp $
 
 COMMENT =	framework for Verilog RTL synthesis
+DISTNAME =	yosys-0.9+4081
 
 GH_ACCOUNT =	YosysHQ
 GH_PROJECT =	yosys
-GH_TAGNAME =	yosys-0.9
-DISTNAME =	${GH_TAGNAME}
-REVISION =	1
+GH_COMMIT =	25de8faf10157ab0cb40f77c7cbf3143527c598e
 
 CATEGORIES =	cad
 
@@ -14,15 +13,16 @@ HOMEPAGE =	http://www.clifford.at/yosys/
 MAINTAINER =	Alessandro De Laurenzis 
 
 # ISC (yosys), MIT (MiniSat)
-PERMIT_PACKAGE =	Yes
+PERMIT_PACKAGE = Yes
 
-WANTLIB +=	${COMPILER_LIBCXX} ${MODTCL_WANTLIB} c m readline ffi
+WANTLIB +=	${COMPILER_LIBCXX} ${MODTCL_WANTLIB} c m readline ffi z
 
 # C++11
-COMPILER =	base-clang ports-gcc
+COMPILER =	base-clang ports-clang
 
 MODULES =	lang/python \
 		lang/tcl
+
 CONFIGURE_STYLE = none
 
 BUILD_DEPENDS =	devel/bison \
@@ -58,7 +58,7 @@ FAKE_FLAGS =	PREFIX="${TRUEPREFIX}"
 
 do-configure:
 	@${SUBST_CMD} ${WRKSRC}/kernel/yosys.cc
-	@cd ${WRKBUILD} && exec env -i ${MAKE_ENV} ${MAKE_PROGRAM} config-gcc
+	@cd ${WRKBUILD} && exec env -i ${MAKE_ENV} ${MAKE_PROGRAM} config-clang
 
 post-install:
 	${MODPY_BIN} ${MODPY_LIBDIR}/compileall.py \
Index: distinfo
===
RCS file: /cvs/ports/cad/yosys/distinfo,v
retrieving revision 1.3
diff -u -p -u -p -r1.3 distinfo
--- distinfo	28 Oct 2019 08:00:17 -	1.3
+++ distinfo	23 May 2021 10:45:11 -
@@ -1,2 +1,2 @@
-SHA256 (yosys-0.9.tar.gz) = 8uMTcfnPGzbLT1eyP9brhJrcfZNdz0nzyQWqUTY4LC8=
-SIZE (yosys-0.9.tar.gz) = 1299545
+SHA256 (yosys-0.9+4081-25de8faf.tar.gz) = ProWZDm6xHgXlv8M1zJn+b4zrgGUIUpap6YV5MrdKRM=
+SIZE (yosys-0.9+4081-25de8faf.tar.gz) = 1976189
Index: patches/patch-Makefile
===
RCS file: /cvs/ports/cad/yosys/patches/patch-Makefile,v
retrieving revision 1.2
diff -u -p -u -p -r1.2 patch-Makefile
--- patches/patch-Makefile	9 Jan 2019 04:27:10 -	1.2
+++ patches/patch-Makefile	23 May 2021 10:45:11 -
@@ -3,49 +3,32 @@ $OpenBSD: patch-Makefile,v 1.2 2019/01/0
 Index: Makefile
 --- Makefile.orig
 +++ Makefile
-@@ -63,7 +63,7 @@ all: top-all
+@@ -85,7 +85,7 @@ all: top-all
  YOSYS_SRC := $(dir $(firstword $(MAKEFILE_LIST)))
  VPATH := $(YOSYS_SRC)
  
--CXXFLAGS := $(CXXFLAGS) -Wall -Wextra -ggdb -I. -I"$(YOSYS_SRC)" -MD -D_YOSYS_ -fPIC -I$(PREFIX)/include
-+CXXFLAGS := $(CXXFLAGS) -Wall -Wextra -I. -I"$(YOSYS_SRC)" -MD -D_YOSYS_ -fPIC -I$(PREFIX)/include
- LDFLAGS := $(LDFLAGS) -L$(LIBDIR)
+-CXXFLAGS := $(CXXFLAGS) -Wall -Wextra -ggdb -I. -I"$(YOSYS_SRC)" -MD -MP -D_YOSYS_ -fPIC -I$(PREFIX)/include
++CXXFLAGS := $(CXXFLAGS) -Wall -Wextra -I. -I"$(YOSYS_SRC)" -MD -MP -D_YOSYS_ -fPIC -I$(PREFIX)/include
  LDLIBS := $(LDLIBS) -lstdc++ -lm
  PLUGIN_LDFLAGS :=
-@@ -94,6 +94,8 @@ PKG_CONFIG_PATH := $(PORT_PREFIX)/lib/pkgconfig:$(PKG_
- export PATH := $(PORT_PREFIX)/bin:$(PATH)
+ 
+@@ -349,6 +349,10 @@ else ifneq ($(CONFIG),none)
+ $(error Invalid CONFIG setting '$(CONFIG)'. Valid values: clang, gcc, gcc-4.8, emcc, mxe, msys2-32, msys2-64)
  endif
  
-+else ifeq ($(OS), OpenBSD)
++ifeq ($(OS), OpenBSD)
++LDLIBS := $(filter-out -lrt, $(LDLIBS))
++endif
 +
- else
- LDFLAGS += -rdynamic
- LDLIBS += -lrt
-@@ -131,7 +133,7 @@ endif
- ifeq ($(CONFIG),clang)
- CXX = clang
- LD = clang++
--CXXFLAGS += -std=c++11 -Os
-+CXXFLAGS += -std=c++11
- ABCMKARGS += ARCHFLAGS

[UPDATE] cad/abc 1.01.20200108 -> 1.01.20210519

2021-05-23 Thread Alessandro De Laurenzis

Greetings,

The attached diff updates cad/abc to a very recent commit.


What's new upstream
===
More than one year of commits, a big jump... See [1] for details.


What's new in the port
==
Very trivial update (DISTNAME, distinfo, usual patch rebasing).
While there, slightly adjust the indentation in the port's Makefile.

Cheers

[1] https://github.com/berkeley-abc/abc/commits/master

--
Alessandro De Laurenzis
[mailto:jus...@atlantide.mooo.com]
Web: http://www.atlantide.mooo.com
LinkedIn: http://it.linkedin.com/in/delaurenzis
Index: Makefile
===
RCS file: /cvs/ports/cad/abc/Makefile,v
retrieving revision 1.5
diff -u -p -u -p -r1.5 Makefile
--- Makefile	16 Jan 2020 22:33:54 -	1.5
+++ Makefile	23 May 2021 07:12:02 -
@@ -1,22 +1,22 @@
 # $OpenBSD: Makefile,v 1.5 2020/01/16 22:33:54 sthen Exp $
 
 COMMENT =	system for sequential logic synthesis and verification
-DISTNAME =	abc-1.01.20200108
+DISTNAME =	abc-1.01.20210519
 CATEGORIES =	cad
 
 GH_ACCOUNT =	berkeley-abc
 GH_PROJECT =	abc
-GH_COMMIT =	144c5be8246800d5bd36dc3e177364063e8d2e40
+GH_COMMIT =	d35b05859c44015661d362926e0dd5f3e4dc
 
 HOMEPAGE =	https://people.eecs.berkeley.edu/~alanmi/abc
 MAINTAINER =	Alessandro De Laurenzis 
 
 # MIT (abc, MiniSat, xSAT, glucose), BSD (bzlib, CUDD, satoko), zlib
-PERMIT_PACKAGE =	Yes
+PERMIT_PACKAGE = Yes
 
-WANTLIB += ${COMPILER_LIBCXX} c curses m readline
+WANTLIB +=	${COMPILER_LIBCXX} c curses m readline
 
-COMPILER =		base-clang ports-gcc base-gcc
+COMPILER =	base-clang ports-gcc base-gcc
 
 MODULES =	devel/cmake
 
Index: distinfo
===
RCS file: /cvs/ports/cad/abc/distinfo,v
retrieving revision 1.2
diff -u -p -u -p -r1.2 distinfo
--- distinfo	16 Jan 2020 22:32:48 -	1.2
+++ distinfo	23 May 2021 07:12:02 -
@@ -1,2 +1,2 @@
-SHA256 (abc-1.01.20200108-144c5be8.tar.gz) = 2QyTrZSL6mh0KunYoZuXbsX102cRCMEsNsdEPHu//Dc=
-SIZE (abc-1.01.20200108-144c5be8.tar.gz) = 5739231
+SHA256 (abc-1.01.20210519-d35b0585.tar.gz) = jWgIEYaaJsXzR6Pa9oRfL/Al7Ya262KPneR8FImcaew=
+SIZE (abc-1.01.20210519-d35b0585.tar.gz) = 5906230
Index: patches/patch-Makefile
===
RCS file: /cvs/ports/cad/abc/patches/patch-Makefile,v
retrieving revision 1.2
diff -u -p -u -p -r1.2 patch-Makefile
--- patches/patch-Makefile	16 Jan 2020 22:32:48 -	1.2
+++ patches/patch-Makefile	23 May 2021 07:12:02 -
@@ -3,7 +3,7 @@ $OpenBSD: patch-Makefile,v 1.2 2020/01/1
 Index: Makefile
 --- Makefile.orig
 +++ Makefile
-@@ -54,7 +54,7 @@ ARCHFLAGS := $(ARCHFLAGS)
+@@ -56,7 +56,7 @@ ARCHFLAGS := $(ARCHFLAGS)
  
  OPTFLAGS  ?= -g -O
  
@@ -12,7 +12,7 @@ Index: Makefile
  ifneq ($(findstring arm,$(shell uname -m)),)
  	CFLAGS += -DABC_MEMALIGN=4
  endif
-@@ -75,6 +75,9 @@ endif
+@@ -77,6 +77,9 @@ endif
  
  ABC_READLINE_INCLUDES ?=
  ABC_READLINE_LIBRARIES ?= -lreadline
@@ -22,7 +22,7 @@ Index: Makefile
  
  # whether to use libreadline
  ifndef ABC_USE_NO_READLINE
-@@ -135,12 +138,17 @@ endif
+@@ -137,12 +140,17 @@ endif
  
  # LIBS := -ldl -lrt
  LIBS += -lm


[UPDATE] graphics/ipe 7.2.20 -> 7.2.24

2021-05-08 Thread Alessandro De Laurenzis

Greetings,

The attached diff (gzip-ed in order to avoid issues with MSDOS line
endings in emailing) updates graphics/ipe to the latest release.

What's new upstream
===
* See news.txt for the complete changelog.


What's new in the port
==
* portcheck is flagging MS-DOS line endings in patch-src_ipeui_Makefile; 
indeed, this upstream Makefile has CRLF chars (it's the only one in the 
distribution, I'll drop an e-mail to the author);


* bump shared libraries major number (note: this was strictly required 
for ipelib only; the other ones either required only minor number update 
or didn't change at all; but the port is structured to use the same 
version for all of them; maybe I'll review this in the future);


* libspiro sources have been removed from Ipe releases, so libspiro 
(recently imported in port tree) is now a dependency;


* doxygen docs have been removed from Ipe release; I preferred to keep 
the whole documentation, including functions' description (in case a 
user is interested in writing ipelets), so doxygen is now a build depend 
(ALL_TARGET variable changed to launch the doc generation at the end of 
the build phase);


* MASTER_SITE is now set to github (release assets available); it seems 
that this very last release is not available on bintray...


* while there, I slightly adjusted the indentation in the port's 
Makefile (hope this doesn't impact the review process too much);


* 2 patches added: patch-src_ipeui_Makefile and 
patch-src_ipepresenter_Makefile;


* usual re-basing for existing patches, PLIST, distinfo, etc.


Lightly tested on amd64 only.

All the best

--
Alessandro De Laurenzis
[mailto:jus...@atlantide.mooo.com]
Web: http://www.atlantide.mooo.com
LinkedIn: http://it.linkedin.com/in/delaurenzis


ipe.diff.gz
Description: application/gzip


[UPDATE] cad/qrouter 1.4.77 -> 1.4.84

2021-03-28 Thread Alessandro De Laurenzis

Greetings,

The attached diff updates cad/qrouter to the latest version.

What's new upstream
===
Bug fixing, mainly. See [1] for a complete list.


What's new in the port
==
Very trivial update (DISTNAME, distinfo)


Slightly tested on amd64 0nly.

Cheers


[1] http://opencircuitdesign.com/qrouter/history.html

--
Alessandro De Laurenzis
[mailto:jus...@atlantide.mooo.com]
Web: http://www.atlantide.mooo.com
LinkedIn: http://it.linkedin.com/in/delaurenzis
Index: Makefile
===
RCS file: /cvs/ports/cad/qrouter/Makefile,v
retrieving revision 1.9
diff -u -p -u -p -r1.9 Makefile
--- Makefile	7 Nov 2020 21:51:18 -	1.9
+++ Makefile	28 Mar 2021 10:02:45 -
@@ -1,8 +1,7 @@
 # $OpenBSD: Makefile,v 1.9 2020/11/07 21:51:18 sthen Exp $
 
 COMMENT =	multi-level, over-the-cell maze router for VLSI design
-DISTNAME =	qrouter-1.4.77
-REVISION =	0
+DISTNAME =	qrouter-1.4.84
 EXTRACT_SUFX =	.tgz
 CATEGORIES =	cad
 
Index: distinfo
===
RCS file: /cvs/ports/cad/qrouter/distinfo,v
retrieving revision 1.6
diff -u -p -u -p -r1.6 distinfo
--- distinfo	22 Feb 2020 11:03:31 -	1.6
+++ distinfo	28 Mar 2021 10:02:45 -
@@ -1,2 +1,2 @@
-SHA256 (qrouter-1.4.77.tgz) = pGCkoXUB+M0QnrM1T6Zt3Y7glPwHh8J7n/YopansiCY=
-SIZE (qrouter-1.4.77.tgz) = 326953
+SHA256 (qrouter-1.4.84.tgz) = OvV5efmLmLFHOaY1lqpFCmLvsdvNcIGZ3S4QkqGJT8U=
+SIZE (qrouter-1.4.84.tgz) = 327476


shells/tcsh 6.22.02 -> 6.22.03 update breaks :h modifier behavior

2021-03-21 Thread Alessandro De Laurenzis

Greatings,

In tcsh 6.22.02 (and in csh from base):


{1} set a=foo
{2} echo $a
foo
{3} echo $a:h
foo


In tcsh 6.22.03:


{1} set a=foo
{2} echo $a
foo
{3} echo $a:h

{4}


This is breaking most of cad/qflow scripts (and possibly creating other 
issues in the tree).


Please test at your end. This is a point that should be discussed 
upstream, but being the 6.9 release very close, would it be possible to 
revert tcsh to the previous version? Or maybe a patch on top of 6.22.03 
is more convenient (but I didn't dig into that...)?


--
Alessandro De Laurenzis
[mailto:jus...@atlantide.mooo.com]
Web: http://www.atlantide.mooo.com
LinkedIn: http://it.linkedin.com/in/delaurenzis



[UPDATE] cad/netgen 1.5.138p0 -> 1.5.171

2021-03-06 Thread Alessandro De Laurenzis

Greetings,

The attached diff updates cad/netgen to the latest version.

What's new upstream
===
See [1] for a complete list. OpenBSD side, the most relevant change is 
the fix of a missing prototype for ReadVerilogFile function, that should 
unbreak the port for mips64 arch (see [2]).


What's new in the port
==
Very trivial update (DISTNAME, distinfo and the result of 'make 
update-patches')


All the best

[1] http://opencircuitdesign.com/netgen/history.html
[2] https://marc.info/?l=openbsd-ports=160303328223947=2

--
Alessandro De Laurenzis
[mailto:jus...@atlantide.mooo.com]
Web: http://www.atlantide.mooo.com
LinkedIn: http://it.linkedin.com/in/delaurenzis
Index: Makefile
===
RCS file: /cvs/ports/cad/netgen/Makefile,v
retrieving revision 1.8
diff -u -p -u -p -r1.8 Makefile
--- Makefile	23 Feb 2021 19:39:10 -	1.8
+++ Makefile	6 Mar 2021 09:23:16 -
@@ -1,9 +1,8 @@
 # $OpenBSD: Makefile,v 1.8 2021/02/23 19:39:10 sthen Exp $
 
 COMMENT =	tool for netlist comparison (LVS) and format manipulation
-DISTNAME =	netgen-1.5.138
+DISTNAME =	netgen-1.5.171
 EXTRACT_SUFX =	.tgz
-REVISION =	0
 
 CATEGORIES =	cad
 
Index: distinfo
===
RCS file: /cvs/ports/cad/netgen/distinfo,v
retrieving revision 1.2
diff -u -p -u -p -r1.2 distinfo
--- distinfo	22 Feb 2020 11:37:16 -	1.2
+++ distinfo	6 Mar 2021 09:23:16 -
@@ -1,2 +1,2 @@
-SHA256 (netgen-1.5.138.tgz) = ntRrH+USG0pPs/k7+1X7WiNIY8w1z6fG4WsimCPKiHg=
-SIZE (netgen-1.5.138.tgz) = 522205
+SHA256 (netgen-1.5.171.tgz) = 9Gl/2K3dareh1eQgc/jDTWO9+lsDfiFxhGbLj8FE9hI=
+SIZE (netgen-1.5.171.tgz) = 530218
Index: patches/patch-Makefile
===
RCS file: /cvs/ports/cad/netgen/patches/patch-Makefile,v
retrieving revision 1.2
diff -u -p -u -p -r1.2 patch-Makefile
--- patches/patch-Makefile	22 Feb 2020 11:37:17 -	1.2
+++ patches/patch-Makefile	6 Mar 2021 09:23:16 -
@@ -3,7 +3,7 @@ $OpenBSD: patch-Makefile,v 1.2 2020/02/2
 Index: Makefile
 --- Makefile.orig
 +++ Makefile
-@@ -14,12 +14,10 @@ include defs.mak
+@@ -14,12 +14,10 @@ INSTALL_CAD_DIRS = lib doc
  all:	$(ALL_TARGET)
  
  standard:


[UPDATE] cad/magic 8.2.190 -> 8.3.137

2021-03-06 Thread Alessandro De Laurenzis

Greetings,

The attached diff updates cad/magic to the latest release.

What's new upstream
===
This is a big jump. For a complete changelog, see [1].

What's new in the port
==
Trivial update (DISTNAME, distinfo, results of 'make update-patches' and 
new PLIST).


Slightly tested on amd64 only.

All the best

[1] http://opencircuitdesign.com/magic/history.html

--
Alessandro De Laurenzis
[mailto:jus...@atlantide.mooo.com]
Web: http://www.atlantide.mooo.com
LinkedIn: http://it.linkedin.com/in/delaurenzis
Index: Makefile
===
RCS file: /cvs/ports/cad/magic/Makefile,v
retrieving revision 1.5
diff -u -p -u -p -r1.5 Makefile
--- Makefile	8 Mar 2020 12:47:35 -	1.5
+++ Makefile	6 Mar 2021 10:45:24 -
@@ -1,7 +1,7 @@
 # $OpenBSD: Makefile,v 1.5 2020/03/08 12:47:35 sthen Exp $
 
 COMMENT =	interactive system for VLSI circuit layouts
-DISTNAME =	magic-8.2.190
+DISTNAME =	magic-8.3.137
 EXTRACT_SUFX =	.tgz
 
 CATEGORIES =	cad
Index: distinfo
===
RCS file: /cvs/ports/cad/magic/distinfo,v
retrieving revision 1.2
diff -u -p -u -p -r1.2 distinfo
--- distinfo	8 Mar 2020 12:47:35 -	1.2
+++ distinfo	6 Mar 2021 10:45:24 -
@@ -1,2 +1,2 @@
-SHA256 (magic-8.2.190.tgz) = BWy27LRp60DPIR6976S2l18qdGeLNx0sL26ZJ2r6Axc=
-SIZE (magic-8.2.190.tgz) = 4031738
+SHA256 (magic-8.3.137.tgz) = lXHTB4JIPUUXohngkJ4IKsVIAOttOhuMDePx/JtVNKw=
+SIZE (magic-8.3.137.tgz) = 4064629
Index: patches/patch-magic_proto_magicrc_in
===
RCS file: /cvs/ports/cad/magic/patches/patch-magic_proto_magicrc_in,v
retrieving revision 1.1
diff -u -p -u -p -r1.1 patch-magic_proto_magicrc_in
--- patches/patch-magic_proto_magicrc_in	8 Mar 2020 12:47:36 -	1.1
+++ patches/patch-magic_proto_magicrc_in	6 Mar 2021 10:45:24 -
@@ -1,4 +1,4 @@
-$OpenBSD: patch-magic_proto_magicrc_in,v 1.1 2020/03/08 12:47:36 sthen Exp $
+$OpenBSD$
 
 Index: magic/proto.magicrc.in
 --- magic/proto.magicrc.in.orig
@@ -171,20 +171,7 @@ Index: magic/proto.magicrc.in
  macro XK_KP_Delete "box size 0 0"
  macro XK_KP_Insert "box size 4 4"
  macro XK_KP_0 "box size 7 2"
-@@ -164,10 +164,10 @@ macro XK_KP_8 "stretch u 1"
- macro Shift_XK_KP_8 "stretch u 1"
- macro XK_8 "stretch u 1"
- macro XK_KP_Prior "move ne 1"
--# Scroll wheel bindings
-+/* # Scroll wheel bindings */
- macro XK_Pointer_Button4 "scroll u .05 w"
- macro XK_Pointer_Button5 "scroll d .05 w"
--# Quick macro function keys for scmos tech (X11 versions only)
-+/* # Quick macro function keys for scmos tech (X11 versions only) */
- macro XK_F1  "paint ndiff"
- macro XK_F2  "paint pdiff"
- macro XK_F3  "paint poly"
-@@ -182,9 +182,9 @@ macro XK_F11 "paint pc"
+@@ -184,9 +184,9 @@ macro XK_F11 "paint pc"
  macro XK_F12 "paint via"
  #endif	/* (XLIB) */
  #else	/* USE_NEW_MACROS */
@@ -197,7 +184,7 @@ Index: magic/proto.magicrc.in
  echo ""
  macro s "select"
  macro S "select more"
-@@ -230,12 +230,12 @@ imacro XK_semicolon ":"
+@@ -232,12 +232,12 @@ imacro XK_semicolon ":"
  macro ^R "iroute route -dBox"
  macro ^N "iroute route -dSelection"
  #endif	/* USE_NEW_MACROS */
@@ -212,7 +199,7 @@ Index: magic/proto.magicrc.in
  macro Button1 "*bypass box move bl cursor"
  macro Shift_Button1 "*bypass box corner bl cursor"
  macro Button2 "*bypass paint cursor"
-@@ -246,7 +246,7 @@ macro Shift_Button3 "*bypass box move ur cursor"
+@@ -248,7 +248,7 @@ macro Shift_Button3 "*bypass box move ur cursor"
  macro Control_Button1 "box move bl cursor"
  macro Control_Button2 "paint cursor"
  macro Control_Button3 "box corner ur cursor"
@@ -221,7 +208,7 @@ Index: magic/proto.magicrc.in
  macro Button1 "box move bl cursor"
  macro Shift_Button1 "box corner bl cursor"
  macro Button2 "paint cursor"
-@@ -254,7 +254,7 @@ macro Shift_Button2 "erase cursor"
+@@ -256,7 +256,7 @@ macro Shift_Button2 "erase cursor"
  macro Button3 "box corner ur cursor"
  macro Shift_Button3 "box move ur cursor"
  #endif /* (!MAGIC_WRAPPER) */
@@ -230,7 +217,7 @@ Index: magic/proto.magicrc.in
  macro color Button1 "pushbutton left"
  macro color Button2 "pushbutton middle"
  macro color Button3 "pushbutton right"
-@@ -262,11 +262,11 @@ macro color u "undo"
+@@ -264,11 +264,11 @@ macro color u "undo"
  macro color U "redo"
  macro color plus "color next"
  macro color minus "color last"
@@ -244,7 +231,7 @@ Index: magic/proto.magicrc.in
  macro wind3d  L "level up"
  macro wind3d  

Re: Qt4 on diet or to hell

2021-01-26 Thread Alessandro De Laurenzis

Hello Rafael,

I'm sorry for jumping into the discussione so late.

On 26/01/2021 09:45, Rafael Sadowski wrote:

Hi Qt4 lovers,

qucs-s in the only Qt4 consumer left in our CVS tree. I have spoken with
the maintainer and he told me that the port is actively used (not only
from him). It looks like cad/qucs-s is the only functional GUI for
SPICE-like simulators on OpenBSD.

To be honest Qt4 applications are looking a little bit broken.

Alessandro De Laurenzis has described this here:
https://marc.info/?l=openbsd-ports=160733053308591=2

I see two options.

Number 1: We say goodbye to Qt4/qucs-s and delete it.

Number 2: We deactivate everything that is possible and not needed by
qucs-s. This means that we have to live with the Qt4 issue. I will not
invest any time.


This is the real point, actually. As I described in [1], the same 
symptoms that I observe in qucs-s seem to affect qtconfig4, which is an 
integral part of the *library* (it would be good if someone could 
confirm this...)


So, AFAICS, we have a broken qt4 subsystem at the moment; and, because 
of that, qucs-s is completely unusable. In these conditions, I don't see 
the point in keeping it into the tree.


qucs-s is more than a GUI for SPICE-based simulators (ngspice in our 
case); it is a kind of integrated environment for circuital analysis 
and, in this respect, it is close to be a "unique" project. Without it, 
we have only a few alternatives in our ports:


1) kicad (and specifically eeschema): a bit bloated, if you ask me, but 
probably still usable;


2) xschem (for schematic capture only; it can also act as a very 
primitive simulator launcher).


So, even it is my preferred solution, qucs-s isn't essential.

But let me stress my point once more: either we preserve the qt4 
subsystem (possibly limiting the tree content to what qucs-s strictly 
needs) and *actively maintain it*, i.e. investing time in bug fixing 
and/or patch back-porting from upstream, or we remove it completely, 
including qucs-s.


My few cents

[1] https://marc.info/?l=openbsd-ports=160733053308591=2

--
Alessandro De Laurenzis
[mailto:jus...@atlantide.mooo.com]
Web: http://www.atlantide.mooo.com
LinkedIn: http://it.linkedin.com/in/delaurenzis



Re: [NEW] graphics/libspiro 20200505

2021-01-22 Thread Alessandro De Laurenzis

Weekly ping. Tarball re-attached.

All the best

On 17/01/2021 12:39, Alessandro De Laurenzis wrote:

Greetings,

A year ago there has been an attempt to import this library (see [1]). 
At that time the port was put "on-hold" since there were 2 issues in the 
test suite; upstream has closed both as "resolved" (see [2] and [3]) and 
I can confirm that now all tests pass on amd64 (it would be better to 
double-check on spark64 too, since kurt@ reported similar issues there).


W.r.t. Frederic's port, in the attached one I updated the version to 
20200505, added PKGNAME and WRKDIST variables into the Makefile (plus a 
comment explaining the reason why gnu LIBTOOL is used) and changed a bit 
the formatting in DESCR (also making more evident the attribution to the 
original author).


I need this port to update graphics/ipe to the latest release, since the 
distribution no more includes spiro's sources.


Hope it can be imported now.

Cheers

[1] https://marc.info/?l=openbsd-ports=157632829607829=2
[2] https://github.com/fontforge/libspiro/issues/21
[3] https://github.com/fontforge/libspiro/issues/22



--
Alessandro De Laurenzis
[mailto:jus...@atlantide.mooo.com]
Web: http://www.atlantide.mooo.com
LinkedIn: http://it.linkedin.com/in/delaurenzis


libspiro.tar.gz
Description: application/gzip


[NEW] graphics/libspiro 20200505

2021-01-17 Thread Alessandro De Laurenzis

Greetings,

A year ago there has been an attempt to import this library (see [1]). 
At that time the port was put "on-hold" since there were 2 issues in the 
test suite; upstream has closed both as "resolved" (see [2] and [3]) and 
I can confirm that now all tests pass on amd64 (it would be better to 
double-check on spark64 too, since kurt@ reported similar issues there).


W.r.t. Frederic's port, in the attached one I updated the version to 
20200505, added PKGNAME and WRKDIST variables into the Makefile (plus a 
comment explaining the reason why gnu LIBTOOL is used) and changed a bit 
the formatting in DESCR (also making more evident the attribution to the 
original author).


I need this port to update graphics/ipe to the latest release, since the 
distribution no more includes spiro's sources.


Hope it can be imported now.

Cheers

[1] https://marc.info/?l=openbsd-ports=157632829607829=2
[2] https://github.com/fontforge/libspiro/issues/21
[3] https://github.com/fontforge/libspiro/issues/22

--
Alessandro De Laurenzis
[mailto:jus...@atlantide.mooo.com]
Web: http://www.atlantide.mooo.com
LinkedIn: http://it.linkedin.com/in/delaurenzis


libspiro.tar.gz
Description: application/gzip


[UPDATE] graphics/xdot 1.1p1 -> 1.2

2021-01-17 Thread Alessandro De Laurenzis

Greetings,

The attached diff updates graphics/xdot to the latest version.

What's new upstream
===
This is mainly a bugfix release; on top of that:
- added toggle-toolbar feature and hide-toolbar commandline parameter;
- added support for tooltips;
- edge highlight along curve.

What's new in the port
==
Very trivial update: just bump MODPY_EGG_VERSION and distinfo.

All the best

--
Alessandro De Laurenzis
[mailto:jus...@atlantide.mooo.com]
Web: http://www.atlantide.mooo.com
LinkedIn: http://it.linkedin.com/in/delaurenzis
Index: Makefile
===
RCS file: /cvs/ports/graphics/xdot/Makefile,v
retrieving revision 1.6
diff -u -p -u -p -r1.6 Makefile
--- Makefile	3 Oct 2020 15:39:58 -	1.6
+++ Makefile	17 Jan 2021 09:52:29 -
@@ -2,9 +2,8 @@
 
 COMMENT =	interactive viewer for Graphviz dot graphs
 
-MODPY_EGG_VERSION = 1.1
+MODPY_EGG_VERSION = 1.2
 DISTNAME =	xdot-${MODPY_EGG_VERSION}
-REVISION =	1
 
 CATEGORIES =	graphics
 
Index: distinfo
===
RCS file: /cvs/ports/graphics/xdot/distinfo,v
retrieving revision 1.2
diff -u -p -u -p -r1.2 distinfo
--- distinfo	18 Jan 2020 21:00:26 -	1.2
+++ distinfo	17 Jan 2021 09:52:29 -
@@ -1,2 +1,2 @@
-SHA256 (xdot-1.1.tar.gz) = 4VxT2A3Id3QCpyWO6+bL85XQQIX/lpm7/66R3w7MJDM=
-SIZE (xdot-1.1.tar.gz) = 28315
+SHA256 (xdot-1.2.tar.gz) = PfkebGcYab0qayqIg/o0dtviunY70qdkbPhIqeunG3A=
+SIZE (xdot-1.2.tar.gz) = 29643


Re: cad/opensta build failure (cmake?)

2021-01-01 Thread Alessandro De Laurenzis
mp;& /u...)
*** Error 2 in cad/opensta (/usr/ports/infrastructure/mk/bsd.port.mk:2909 
'/usr/obj/ports/opensta-2.2.0/build-amd64/.configure_done': @cd /u...)
*** Error 2 in cad/opensta (/usr/ports/infrastructure/mk/bsd.port.mk:2587 
'configure': @lock=opensta-2.2.0;  export _LOCKS_HELD=" opensta-2)
===> Exiting cad/opensta with an error
*** Error 1 in /usr/ports (infrastructure/mk/bsd.port.subdir.mk:137 
'configure': @: ${echo_msg:=echo};  : ${target:=configure};  for i in ; ...)

Ended at 1609392168.65

Error: job failed with 512 on amd64-1 at 1609392168



--
Alessandro De Laurenzis
[mailto:jus...@atlantide.mooo.com]
Web: http://www.atlantide.mooo.com
LinkedIn: http://it.linkedin.com/in/delaurenzis
Index: Makefile
===
RCS file: /cvs/ports/cad/opensta/Makefile,v
retrieving revision 1.8
diff -u -p -u -p -r1.8 Makefile
--- Makefile	25 Sep 2020 19:00:10 -	1.8
+++ Makefile	1 Jan 2021 13:38:30 -
@@ -5,6 +5,7 @@ COMMENT =	Parallax Static Timing Analyze
 GH_ACCOUNT =	The-OpenROAD-Project
 GH_PROJECT =	OpenSTA
 GH_TAGNAME =	v2.2.0
+REVISION =	0
 PKGNAME =	${DISTNAME:L}
 
 CATEGORIES =	cad
Index: patches/patch-CMakeLists_txt
===
RCS file: patches/patch-CMakeLists_txt
diff -N patches/patch-CMakeLists_txt
--- /dev/null	1 Jan 1970 00:00:00 -
+++ patches/patch-CMakeLists_txt	1 Jan 2021 13:38:30 -
@@ -0,0 +1,14 @@
+$OpenBSD$
+
+Index: CMakeLists.txt
+--- CMakeLists.txt.orig
 CMakeLists.txt
+@@ -291,7 +291,7 @@ add_flex_bison_dependency(SdfLex SdfParser)
+ 
+ 
+ 
+-find_package(SWIG REQUIRED 3.0)
++find_package(SWIG 3.0 REQUIRED)
+ include(UseSWIG)
+ 
+ set(STA_SWIG_FILE app/StaApp.i)


Re: QT4 UI got broken?

2020-12-12 Thread Alessandro De Laurenzis
Friendly ping.

Anybody willing to have a look?

All the best

On December 7, 2020 9:41:03 AM GMT+01:00, Alessandro De Laurenzis 
 wrote:
>Greetings,
>
>I recently observed some glitches in qucs-s (one of the ports that I 
>maintain and one of the few survivors in the QT4 ecosystem), like
>random 
>underlying in menu entries, missing text in Qmessage windows, missing 
>contents in frames, etc., till the point that the app becomes
>completely 
>unusable.
>
>I started investigating on the port itself, without finding anything 
>relevant; now I noticed very similar failures in qtconfig4 which could 
>suggest an issue in x11/qt4 instead.
>
>I can easily reproduce the problem with the following steps:
>- launch quconfig4;
>- in "GUI Style" frame, select something different from the default 
>(e.g., GTK+);
>- click on "File" -> "Save";
>- the menu gets completely corrupted.
>
>I don't have the knowledge to proceed with the investigation (I cannot 
>even say if this is specific for amd64/Intel graphics), so I kindly ask
>
>for your help.
>
>Of course, I'm available to try any kind of patch, just let me know.
>
>Copying espie@ (maintainer) and Rafael.
>
>All the best
>
>-- 
>Alessandro De Laurenzis
>[mailto:jus...@atlantide.mooo.com]
>Web: http://www.atlantide.mooo.com
>LinkedIn: http://it.linkedin.com/in/delaurenzis

-- 
Alessandro De Laurenzis

QT4 UI got broken?

2020-12-07 Thread Alessandro De Laurenzis

Greetings,

I recently observed some glitches in qucs-s (one of the ports that I 
maintain and one of the few survivors in the QT4 ecosystem), like random 
underlying in menu entries, missing text in Qmessage windows, missing 
contents in frames, etc., till the point that the app becomes completely 
unusable.


I started investigating on the port itself, without finding anything 
relevant; now I noticed very similar failures in qtconfig4 which could 
suggest an issue in x11/qt4 instead.


I can easily reproduce the problem with the following steps:
- launch quconfig4;
- in "GUI Style" frame, select something different from the default 
(e.g., GTK+);

- click on "File" -> "Save";
- the menu gets completely corrupted.

I don't have the knowledge to proceed with the investigation (I cannot 
even say if this is specific for amd64/Intel graphics), so I kindly ask 
for your help.


Of course, I'm available to try any kind of patch, just let me know.

Copying espie@ (maintainer) and Rafael.

All the best

--
Alessandro De Laurenzis
[mailto:jus...@atlantide.mooo.com]
Web: http://www.atlantide.mooo.com
LinkedIn: http://it.linkedin.com/in/delaurenzis



Re: [UPDATE] x11/menumaker 0.99.11p0 -> 0.99.12

2020-11-14 Thread Alessandro De Laurenzis

Weekly ping. Diff re-attached.

Cheers

On 07/11/2020 16:21, Alessandro De Laurenzis wrote:

Greetings,

The attached diff updates x11/menumaker to the latest release.

What's new upstream
===
This is mainly a bugfix release; on top of that, support for Sakura, 
QTerminal and Alacritty terminal emulators (the latter is not available 
on OpenBSD) has been added.



What's new in the port
==
Since this is a trivial update, I took the opportunity to adjust the 
Makefile's indentation (hoping this doesn't create troubles for the 
review).


All the best



--
Alessandro De Laurenzis
[mailto:jus...@atlantide.mooo.com]
Web: http://www.atlantide.mooo.com
LinkedIn: http://it.linkedin.com/in/delaurenzis
Index: Makefile
===
RCS file: /cvs/ports/x11/menumaker/Makefile,v
retrieving revision 1.6
diff -u -p -u -p -r1.6 Makefile
--- Makefile	3 Jul 2020 21:13:23 -	1.6
+++ Makefile	7 Nov 2020 15:15:56 -
@@ -1,9 +1,8 @@
 # $OpenBSD: Makefile,v 1.6 2020/07/03 21:13:23 sthen Exp $
 
 COMMENT =	menu generation utility for X window managers
-DISTNAME =	menumaker-0.99.11
+DISTNAME =	menumaker-0.99.12
 CATEGORIES =	x11
-REVISION =	0
 
 MASTER_SITES =	${MASTER_SITE_SOURCEFORGE:=menumaker/}
 
@@ -11,16 +10,16 @@ HOMEPAGE =	http://menumaker.sourceforge.
 MAINTAINER =	Alessandro De Laurenzis 
 
 # BSD
-PERMIT_PACKAGE =	Yes
+PERMIT_PACKAGE = Yes
 
-MODULES =		lang/python
-MODPY_VERSION =		${MODPY_DEFAULT_VERSION_3}
+MODULES =	lang/python
+MODPY_VERSION =	${MODPY_DEFAULT_VERSION_3}
 
-CONFIGURE_STYLE =	gnu
+CONFIGURE_STYLE = gnu
 
-MAKE_FLAGS =		PYTHON=${MODPY_BIN}
+MAKE_FLAGS =	PYTHON=${MODPY_BIN}
 
-NO_TEST =		Yes
+NO_TEST =	Yes
 
 post-install:
 	${MODPY_BIN} ${MODPY_LIBDIR}/compileall.py \
Index: distinfo
===
RCS file: /cvs/ports/x11/menumaker/distinfo,v
retrieving revision 1.2
diff -u -p -u -p -r1.2 distinfo
--- distinfo	18 Apr 2020 09:01:37 -	1.2
+++ distinfo	7 Nov 2020 15:15:56 -
@@ -1,2 +1,2 @@
-SHA256 (menumaker-0.99.11.tar.gz) = nYMa267yEB2LjYLkjXZMLBqAo46X3PkHQOtUDm2z+TY=
-SIZE (menumaker-0.99.11.tar.gz) = 205196
+SHA256 (menumaker-0.99.12.tar.gz) = 46NWYYalqe1Nchwed4ilryQ8jIpFuW/m//PpRYAomww=
+SIZE (menumaker-0.99.12.tar.gz) = 206208


Re: [NEW] Script for semi-automatic mount/umount operations

2020-11-14 Thread Alessandro De Laurenzis

Weekly ping. Anyone interested?

Tarball re-attached.

Cheers

On 08/11/2020 04:20, Alessandro De Laurenzis wrote:

Greetings,

This is the proposal for a new port: sysutils/amount

Disclaimer: I know that this kind of scripts are hardly ever accepted by 
OpenBSD community, nonetheless I use it on a daily basis and find it 
very handy.


  From DESCR:

amount is an OpenBSD specific, POSIX compliant shell script to manage
removable devices; it presents a list of available partitions, and
(un)mount them upon user request. A few commands used by amount require
special permissions (mount(8), umount(8), disklabel(8), fsck(8) ...), so
it should be run as root
I'm the author of the script; I tried to make it as close to the OpenBSD 
quality standard as I could, keeping it simple, but flexible enough to 
be adaptable to users' taste; it can be considered an alternative to the 
use of hotplugd, when full control of mount/unmount operations is desired.


Port-wise, the Makefile is very simple; I tried to add "PKG_ARCH = *", 
but it triggers an error during "make package" and since I don't know if 
it is really needed, I didn't investigate further; please advice in case 
I should re-add it.


I would appreciate hearing your opinion on both the port and (most 
important) the code style of the script (and the man page); see [1].


Any feedback welcome.

All the best

[1] https://github.com/just22/amount



--
Alessandro De Laurenzis
[mailto:jus...@atlantide.mooo.com]
Web: http://www.atlantide.mooo.com
LinkedIn: http://it.linkedin.com/in/delaurenzis


amount.tar.gz
Description: application/gzip


Re: [NEW] Script for semi-automatic mount/umount operations

2020-11-08 Thread Alessandro De Laurenzis
Hello Chris,

Thanks for your feedback, but probably you looked at the script's Makefile, not 
at the port's one. Please open the tarball attached to my original mail.

Cheers

--
Alessandro

On November 8, 2020 8:16:02 PM GMT+01:00, Chris Bennett 
 wrote:
>On Sun, Nov 08, 2020 at 04:20:48AM +0100, Alessandro De Laurenzis
>wrote:
>> Greetings,
>> 
>> This is the proposal for a new port: sysutils/amount
>> 
>> Disclaimer: I know that this kind of scripts are hardly ever accepted
>by
>> OpenBSD community, nonetheless I use it on a daily basis and find it
>very
>> handy.
>> 
>>  From DESCR:
>> > amount is an OpenBSD specific, POSIX compliant shell script to
>manage
>> > removable devices; it presents a list of available partitions, and
>> > (un)mount them upon user request. A few commands used by amount
>require
>> > special permissions (mount(8), umount(8), disklabel(8), fsck(8)
>...), so
>> > it should be run as root
>> I'm the author of the script; I tried to make it as close to the
>OpenBSD
>> quality standard as I could, keeping it simple, but flexible enough
>to be
>> adaptable to users' taste; it can be considered an alternative to the
>use of
>> hotplugd, when full control of mount/unmount operations is desired.
>> 
>> Port-wise, the Makefile is very simple; I tried to add "PKG_ARCH =
>*", but
>> it triggers an error during "make package" and since I don't know if
>it is
>> really needed, I didn't investigate further; please advice in case I
>should
>> re-add it.
>
>To have a port, you need to include bsd.ports.mk
>That's most likely why PKG_ARCH failed.
>
>Follow the template in /usr/ports/infrastructure/Makefile.template
>
>I didn't look at the rest. Follow the porting guide. It helps a lot.
>
>Chris Bennett


[NEW] Script for semi-automatic mount/umount operations

2020-11-07 Thread Alessandro De Laurenzis

Greetings,

This is the proposal for a new port: sysutils/amount

Disclaimer: I know that this kind of scripts are hardly ever accepted by 
OpenBSD community, nonetheless I use it on a daily basis and find it 
very handy.


 From DESCR:

amount is an OpenBSD specific, POSIX compliant shell script to manage
removable devices; it presents a list of available partitions, and
(un)mount them upon user request. A few commands used by amount require
special permissions (mount(8), umount(8), disklabel(8), fsck(8) ...), so
it should be run as root
I'm the author of the script; I tried to make it as close to the OpenBSD 
quality standard as I could, keeping it simple, but flexible enough to 
be adaptable to users' taste; it can be considered an alternative to the 
use of hotplugd, when full control of mount/unmount operations is desired.


Port-wise, the Makefile is very simple; I tried to add "PKG_ARCH = *", 
but it triggers an error during "make package" and since I don't know if 
it is really needed, I didn't investigate further; please advice in case 
I should re-add it.


I would appreciate hearing your opinion on both the port and (most 
important) the code style of the script (and the man page); see [1].


Any feedback welcome.

All the best

[1] https://github.com/just22/amount

--
Alessandro De Laurenzis
[mailto:jus...@atlantide.mooo.com]
Web: http://www.atlantide.mooo.com
LinkedIn: http://it.linkedin.com/in/delaurenzis



amount.tar.gz
Description: application/gzip


[UPDATE] x11/menumaker 0.99.11p0 -> 0.99.12

2020-11-07 Thread Alessandro De Laurenzis

Greetings,

The attached diff updates x11/menumaker to the latest release.

What's new upstream
===
This is mainly a bugfix release; on top of that, support for Sakura, 
QTerminal and Alacritty terminal emulators (the latter is not available 
on OpenBSD) has been added.



What's new in the port
==
Since this is a trivial update, I took the opportunity to adjust the 
Makefile's indentation (hoping this doesn't create troubles for the review).


All the best

--
Alessandro De Laurenzis
[mailto:jus...@atlantide.mooo.com]
Web: http://www.atlantide.mooo.com
LinkedIn: http://it.linkedin.com/in/delaurenzis
Index: Makefile
===
RCS file: /cvs/ports/x11/menumaker/Makefile,v
retrieving revision 1.6
diff -u -p -u -p -r1.6 Makefile
--- Makefile	3 Jul 2020 21:13:23 -	1.6
+++ Makefile	7 Nov 2020 15:15:56 -
@@ -1,9 +1,8 @@
 # $OpenBSD: Makefile,v 1.6 2020/07/03 21:13:23 sthen Exp $
 
 COMMENT =	menu generation utility for X window managers
-DISTNAME =	menumaker-0.99.11
+DISTNAME =	menumaker-0.99.12
 CATEGORIES =	x11
-REVISION =	0
 
 MASTER_SITES =	${MASTER_SITE_SOURCEFORGE:=menumaker/}
 
@@ -11,16 +10,16 @@ HOMEPAGE =	http://menumaker.sourceforge.
 MAINTAINER =	Alessandro De Laurenzis 
 
 # BSD
-PERMIT_PACKAGE =	Yes
+PERMIT_PACKAGE = Yes
 
-MODULES =		lang/python
-MODPY_VERSION =		${MODPY_DEFAULT_VERSION_3}
+MODULES =	lang/python
+MODPY_VERSION =	${MODPY_DEFAULT_VERSION_3}
 
-CONFIGURE_STYLE =	gnu
+CONFIGURE_STYLE = gnu
 
-MAKE_FLAGS =		PYTHON=${MODPY_BIN}
+MAKE_FLAGS =	PYTHON=${MODPY_BIN}
 
-NO_TEST =		Yes
+NO_TEST =	Yes
 
 post-install:
 	${MODPY_BIN} ${MODPY_LIBDIR}/compileall.py \
Index: distinfo
===
RCS file: /cvs/ports/x11/menumaker/distinfo,v
retrieving revision 1.2
diff -u -p -u -p -r1.2 distinfo
--- distinfo	18 Apr 2020 09:01:37 -	1.2
+++ distinfo	7 Nov 2020 15:15:56 -
@@ -1,2 +1,2 @@
-SHA256 (menumaker-0.99.11.tar.gz) = nYMa267yEB2LjYLkjXZMLBqAo46X3PkHQOtUDm2z+TY=
-SIZE (menumaker-0.99.11.tar.gz) = 205196
+SHA256 (menumaker-0.99.12.tar.gz) = 46NWYYalqe1Nchwed4ilryQ8jIpFuW/m//PpRYAomww=
+SIZE (menumaker-0.99.12.tar.gz) = 206208


[FIX] Unbreak cad/netgen for mips64

2020-10-18 Thread Alessandro De Laurenzis

Greetings,

As reported by visa@ (see [1]), cad/netgen is currently broken for 
mips64 arch. I don't have one of those machines at my disposal, so I can 
only guess, basing on the log file, that the problem is a missing prototype.


I would propose to try this patch (only tested on amd64, no regression 
found in my verification environment); if it's confirmed that the port 
compiles correctly on mips64 afterwards, I'll work with upstream in 
order to merge it in a future release.



[1] http://build-failures.rhaalovely.net/mips64/2020-10-09/cad/netgen.log


Cheers

--
Alessandro De Laurenzis
[mailto:jus...@atlantide.mooo.com]
Web: http://www.atlantide.mooo.com
LinkedIn: http://it.linkedin.com/in/delaurenzis
Index: Makefile
===
RCS file: /cvs/ports/cad/netgen/Makefile,v
retrieving revision 1.7
diff -u -p -u -p -r1.7 Makefile
--- Makefile	3 Jul 2020 21:12:36 -	1.7
+++ Makefile	18 Oct 2020 13:23:07 -
@@ -3,7 +3,7 @@
 COMMENT =	tool for netlist comparison (LVS) and format manipulation
 DISTNAME =	netgen-1.5.138
 EXTRACT_SUFX =	.tgz
-REVISION =	0
+REVISION =	1
 
 CATEGORIES =	cad
 
Index: patches/patch-base_netgen_h
===
RCS file: patches/patch-base_netgen_h
diff -N patches/patch-base_netgen_h
--- /dev/null	1 Jan 1970 00:00:00 -
+++ patches/patch-base_netgen_h	18 Oct 2020 13:23:07 -
@@ -0,0 +1,21 @@
+$OpenBSD$
+
+Index: base/netgen.h
+--- base/netgen.h.orig
 base/netgen.h
+@@ -65,6 +65,15 @@ extern void AssignCircuits(char *name1, int file1, cha
+ /* flatten.c */
+ extern int PrematchLists(char *, int, char *, int);
+ 
++/* verilog.c */
++struct cellstack {
++   char *cellname;
++   struct cellstack *next;
++};
++
++void ReadVerilogFile(char *fname, int filenum, struct cellstack **CellStackPtr,
++		int blackbox);
++
+ /* Define (enumerate) various device classes, largely based on SPICE	*/
+ /* model types, mixed with some ext/sim types.*/
+ 
Index: patches/patch-base_spice_c
===
RCS file: patches/patch-base_spice_c
diff -N patches/patch-base_spice_c
--- /dev/null	1 Jan 1970 00:00:00 -
+++ patches/patch-base_spice_c	18 Oct 2020 13:23:07 -
@@ -0,0 +1,21 @@
+$OpenBSD$
+
+Index: base/spice.c
+--- base/spice.c.orig
 base/spice.c
+@@ -474,15 +474,6 @@ void CleanupSubcell() {
+ }
+ 
+ /*--*/
+-/* Structure for stacking nested subcircuit definitions */
+-/*--*/
+-
+-struct cellstack {
+-   char *cellname;
+-   struct cellstack *next;
+-};
+-
+-/*--*/
+ /* Push a subcircuit name onto the stack		*/
+ /*--*/
+ 
Index: patches/patch-base_verilog_c
===
RCS file: patches/patch-base_verilog_c
diff -N patches/patch-base_verilog_c
--- /dev/null	1 Jan 1970 00:00:00 -
+++ patches/patch-base_verilog_c	18 Oct 2020 13:23:07 -
@@ -0,0 +1,17 @@
+$OpenBSD$
+
+Index: base/verilog.c
+--- base/verilog.c.orig
 base/verilog.c
+@@ -566,11 +566,6 @@ void CleanupModule() {
+ /* Structure for stacking nested module definitions	*/
+ /*--*/
+ 
+-struct cellstack {
+-   char *cellname;
+-   struct cellstack *next;
+-};
+-
+ /* Forward declarations */
+ extern void IncludeVerilog(char *, int, struct cellstack **, int);
+ 


Re: [UPDATE] cad/opensta 2.0.18.20200308 -> 2.2.0

2020-09-25 Thread Alessandro De Laurenzis

Weekly ping. Diff re-attached.

On 19/09/2020 10:50, Alessandro De Laurenzis wrote:

Greetings,

The attached diff updates cad/opensta to a just published release.

What's new upstream
===
* Github user is now "The-OpenROAD-Project" and some days ago they made available the 
first "stable" archive for this tool;

* Better leakage and internal power treatment;

* Simplified CUDD cmake support;

* Public headers moved to include/sta;

* 'get_lib_cells' doesn't require library/ anymore;

* 'report_path -report_sigmas' shows incr;

* max_fanout, max_cap checks;

* 'set_max_transition pin' support removed;

* plenty of minor improvements and bug fixing.


What's new in the port
==
* No more need to base our port on Github commits;

* Naming scheme is non-standard: see $V, PKGNAME and WRKDIST;

* I set HOMEPAGE to the OpenROAD project one: this isn't specific to OpenSTA, 
but it contains more meaningful info than the Github page IMO (and OpenSTA is 
clearly listed in their open-source tool-chain);

* Nitpicking on tab alignment.

All tests are passing. New binary is working flawlessly in my regression 
environment.

Cheers



--
Alessandro De Laurenzis
[mailto:jus...@atlantide.mooo.com]
Web: http://www.atlantide.mooo.com
LinkedIn: http://it.linkedin.com/in/delaurenzis
Index: Makefile
===
RCS file: /cvs/ports/cad/opensta/Makefile,v
retrieving revision 1.7
diff -u -p -u -p -r1.7 Makefile
--- Makefile	14 Mar 2020 06:42:05 -	1.7
+++ Makefile	19 Sep 2020 08:10:36 -
@@ -2,29 +2,32 @@
 
 COMMENT =	Parallax Static Timing Analyzer
 
-# use version number from git log
-DISTNAME =	opensta-2.0.18.20200308
+V =		2.2.0
+DISTNAME =	v$V
+PKGNAME =	opensta-$V
 
 CATEGORIES =	cad
 
-GH_ACCOUNT =	abk-openroad
-GH_PROJECT =	OpenSTA
-GH_COMMIT =	d615f62fe412b0f12166e6d5559eacec43713e02
+HOMEPAGE =	https://theopenroadproject.org/
 
 MAINTAINER =	Alessandro De Laurenzis 
 
 # GPLv3
-PERMIT_PACKAGE =	Yes
+PERMIT_PACKAGE = Yes
 
 WANTLIB =	${COMPILER_LIBCXX} ${MODTCL_WANTLIB} c m z cudd
 
+# Stable archives, but non-standard naming scheme
+MASTER_SITES =	https://github.com/The-OpenROAD-Project/OpenSTA/archive/
+WRKDIST =	${WRKDIR}/OpenSTA-$V
+
 # C++11
 COMPILER =	base-clang ports-gcc base-gcc
 
 MODULES =	devel/cmake \
 		lang/tcl
 
-MODTCL_VERSION =	8.6
+MODTCL_VERSION = 8.6
 
 LIB_DEPENDS =	${MODTCL_LIB_DEPENDS} \
 		devel/cudd
Index: distinfo
===
RCS file: /cvs/ports/cad/opensta/distinfo,v
retrieving revision 1.3
diff -u -p -u -p -r1.3 distinfo
--- distinfo	14 Mar 2020 06:42:05 -	1.3
+++ distinfo	19 Sep 2020 08:10:36 -
@@ -1,2 +1,2 @@
-SHA256 (opensta-2.0.18.20200308-d615f62f.tar.gz) = +039qKdPjmM4LqUv5qbo9L3akiS9f98gzulJgXyNJT4=
-SIZE (opensta-2.0.18.20200308-d615f62f.tar.gz) = 4859083
+SHA256 (v2.2.0.tar.gz) = FhPYfsyUdGmn18q2XandjDksyU/IAZFTydElwVkSF7k=
+SIZE (v2.2.0.tar.gz) = 4873166
Index: pkg/PLIST
===
RCS file: /cvs/ports/cad/opensta/pkg/PLIST,v
retrieving revision 1.3
diff -u -p -u -p -r1.3 PLIST
--- pkg/PLIST	14 Mar 2020 06:42:05 -	1.3
+++ pkg/PLIST	19 Sep 2020 08:10:36 -
@@ -1,169 +1,126 @@
 @comment $OpenBSD: PLIST,v 1.3 2020/03/14 06:42:05 rsadowski Exp $
 @bin bin/sta
-include/ArcDelayCalc.hh
-include/Arnoldi.hh
-include/ArnoldiDelayCalc.hh
-include/ArnoldiReduce.hh
-include/Bfs.hh
-include/CheckMaxSkews.hh
-include/CheckMinPeriods.hh
-include/CheckMinPulseWidths.hh
-include/CheckSlewLimits.hh
-include/CheckTiming.hh
-include/ClkInfo.hh
-include/ClkSkew.hh
-include/Clock.hh
-include/ClockGatingCheck.hh
-include/ClockGroups.hh
-include/ClockInsertion.hh
-include/ClockLatency.hh
-include/ConcreteLibrary.hh
-include/ConcreteNetwork.hh
-include/ConcreteParasitics.hh
-include/ConcreteParasiticsPvt.hh
-include/Corner.hh
-include/Crpr.hh
-include/CycleAccting.hh
-include/DataCheck.hh
-include/DcalcAnalysisPt.hh
-include/Debug.hh
-include/Delay.hh
-include/DelayCalc.hh
-include/DelayFloat.hh
-include/DelayNormal1.hh
-include/DelayNormal2.hh
-include/DeratingFactors.hh
-include/DisabledPorts.hh
-include/DisallowCopyAssign.hh
-include/DispatchQueue.hh
-include/DmpCeff.hh
-include/DmpDelayCalc.hh
-include/EnumNameMap.hh
-include/EquivCells.hh
-include/Error.hh
-include/EstimateParasitics.hh
-include/ExceptionPath.hh
-include/FindRegister.hh
-include/FuncExpr.hh
-include/Fuzzy.hh
-include/GatedClk.hh
-include/Genclks.hh
-include/Graph.hh
-include/GraphClass.hh
-include/GraphCmp.hh
-include/GraphDelayCalc.hh
-include/GraphDelayCalc1.hh
-include/Hash.hh
-include/HashSet.hh
-include/HpinDrvrLoad.hh
-include/InputDrive.hh
-include/InternalPower.hh
-include/Iterator.hh
-include/Latches.hh
-include/LeakagePower.hh
-include/Levelize.hh
-include/Liberty.hh
-include/LibertyBuilder.hh
-include/LibertyClass.hh
-include/LibertyExpr.hh
-include/LibertyParser.hh
-include/Liber

[UPDATE] cad/opensta 2.0.18.20200308 -> 2.2.0

2020-09-19 Thread Alessandro De Laurenzis

Greetings,

The attached diff updates cad/opensta to a just published release.

What's new upstream
===
* Github user is now "The-OpenROAD-Project" and some days ago they made available the 
first "stable" archive for this tool;

* Better leakage and internal power treatment;

* Simplified CUDD cmake support;

* Public headers moved to include/sta;

* 'get_lib_cells' doesn't require library/ anymore;

* 'report_path -report_sigmas' shows incr;

* max_fanout, max_cap checks;

* 'set_max_transition pin' support removed;

* plenty of minor improvements and bug fixing.


What's new in the port
==
* No more need to base our port on Github commits;

* Naming scheme is non-standard: see $V, PKGNAME and WRKDIST;

* I set HOMEPAGE to the OpenROAD project one: this isn't specific to OpenSTA, 
but it contains more meaningful info than the Github page IMO (and OpenSTA is 
clearly listed in their open-source tool-chain);

* Nitpicking on tab alignment.

All tests are passing. New binary is working flawlessly in my regression 
environment.

Cheers

--
Alessandro De Laurenzis
[mailto:jus...@atlantide.mooo.com]
Web: http://www.atlantide.mooo.com
LinkedIn: http://it.linkedin.com/in/delaurenzis
Index: Makefile
===
RCS file: /cvs/ports/cad/opensta/Makefile,v
retrieving revision 1.7
diff -u -p -u -p -r1.7 Makefile
--- Makefile	14 Mar 2020 06:42:05 -	1.7
+++ Makefile	19 Sep 2020 08:10:36 -
@@ -2,29 +2,32 @@
 
 COMMENT =	Parallax Static Timing Analyzer
 
-# use version number from git log
-DISTNAME =	opensta-2.0.18.20200308
+V =		2.2.0
+DISTNAME =	v$V
+PKGNAME =	opensta-$V
 
 CATEGORIES =	cad
 
-GH_ACCOUNT =	abk-openroad
-GH_PROJECT =	OpenSTA
-GH_COMMIT =	d615f62fe412b0f12166e6d5559eacec43713e02
+HOMEPAGE =	https://theopenroadproject.org/
 
 MAINTAINER =	Alessandro De Laurenzis 
 
 # GPLv3
-PERMIT_PACKAGE =	Yes
+PERMIT_PACKAGE = Yes
 
 WANTLIB =	${COMPILER_LIBCXX} ${MODTCL_WANTLIB} c m z cudd
 
+# Stable archives, but non-standard naming scheme
+MASTER_SITES =	https://github.com/The-OpenROAD-Project/OpenSTA/archive/
+WRKDIST =	${WRKDIR}/OpenSTA-$V
+
 # C++11
 COMPILER =	base-clang ports-gcc base-gcc
 
 MODULES =	devel/cmake \
 		lang/tcl
 
-MODTCL_VERSION =	8.6
+MODTCL_VERSION = 8.6
 
 LIB_DEPENDS =	${MODTCL_LIB_DEPENDS} \
 		devel/cudd
Index: distinfo
===
RCS file: /cvs/ports/cad/opensta/distinfo,v
retrieving revision 1.3
diff -u -p -u -p -r1.3 distinfo
--- distinfo	14 Mar 2020 06:42:05 -	1.3
+++ distinfo	19 Sep 2020 08:10:36 -
@@ -1,2 +1,2 @@
-SHA256 (opensta-2.0.18.20200308-d615f62f.tar.gz) = +039qKdPjmM4LqUv5qbo9L3akiS9f98gzulJgXyNJT4=
-SIZE (opensta-2.0.18.20200308-d615f62f.tar.gz) = 4859083
+SHA256 (v2.2.0.tar.gz) = FhPYfsyUdGmn18q2XandjDksyU/IAZFTydElwVkSF7k=
+SIZE (v2.2.0.tar.gz) = 4873166
Index: pkg/PLIST
===
RCS file: /cvs/ports/cad/opensta/pkg/PLIST,v
retrieving revision 1.3
diff -u -p -u -p -r1.3 PLIST
--- pkg/PLIST	14 Mar 2020 06:42:05 -	1.3
+++ pkg/PLIST	19 Sep 2020 08:10:36 -
@@ -1,169 +1,126 @@
 @comment $OpenBSD: PLIST,v 1.3 2020/03/14 06:42:05 rsadowski Exp $
 @bin bin/sta
-include/ArcDelayCalc.hh
-include/Arnoldi.hh
-include/ArnoldiDelayCalc.hh
-include/ArnoldiReduce.hh
-include/Bfs.hh
-include/CheckMaxSkews.hh
-include/CheckMinPeriods.hh
-include/CheckMinPulseWidths.hh
-include/CheckSlewLimits.hh
-include/CheckTiming.hh
-include/ClkInfo.hh
-include/ClkSkew.hh
-include/Clock.hh
-include/ClockGatingCheck.hh
-include/ClockGroups.hh
-include/ClockInsertion.hh
-include/ClockLatency.hh
-include/ConcreteLibrary.hh
-include/ConcreteNetwork.hh
-include/ConcreteParasitics.hh
-include/ConcreteParasiticsPvt.hh
-include/Corner.hh
-include/Crpr.hh
-include/CycleAccting.hh
-include/DataCheck.hh
-include/DcalcAnalysisPt.hh
-include/Debug.hh
-include/Delay.hh
-include/DelayCalc.hh
-include/DelayFloat.hh
-include/DelayNormal1.hh
-include/DelayNormal2.hh
-include/DeratingFactors.hh
-include/DisabledPorts.hh
-include/DisallowCopyAssign.hh
-include/DispatchQueue.hh
-include/DmpCeff.hh
-include/DmpDelayCalc.hh
-include/EnumNameMap.hh
-include/EquivCells.hh
-include/Error.hh
-include/EstimateParasitics.hh
-include/ExceptionPath.hh
-include/FindRegister.hh
-include/FuncExpr.hh
-include/Fuzzy.hh
-include/GatedClk.hh
-include/Genclks.hh
-include/Graph.hh
-include/GraphClass.hh
-include/GraphCmp.hh
-include/GraphDelayCalc.hh
-include/GraphDelayCalc1.hh
-include/Hash.hh
-include/HashSet.hh
-include/HpinDrvrLoad.hh
-include/InputDrive.hh
-include/InternalPower.hh
-include/Iterator.hh
-include/Latches.hh
-include/LeakagePower.hh
-include/Levelize.hh
-include/Liberty.hh
-include/LibertyBuilder.hh
-include/LibertyClass.hh
-include/LibertyExpr.hh
-include/LibertyParser.hh
-include/LibertyReader.hh
-include/LibertyReaderPvt.hh
-include/LinearModel.hh
-include/LumpedCapD

Re: [UPDATE] graphics/ipe 7.2.13 -> 7.2.20

2020-09-14 Thread Alessandro De Laurenzis

Yet another ping. Diff reattached.

On 01/09/2020 16:09, Alessandro De Laurenzis wrote:

Bi-weekly ping!

Diff re-attached.

On 21/08/2020 16:43, Alessandro De Laurenzis wrote:

Weekly ping. Re-attaching diff to ease the review process.

Cheers

On 15/08/2020 13:35, Alessandro De Laurenzis wrote:

Dear ports@ readers,

The attached diff (gzip-ed in order to avoid issues with MSDOS line
endings in emailing) updates graphics/ipe to the latest release.

What's new upstream
===
(extracted from news.txt):

  * New "laser pointer" mode that is useful when giving a presentation
    by filming directly from the Ipe canvas;

  * Added PNG prediction algorithm to Ipe's image decoder (sometimes
    needed when including PDF graphics);

  * Layers can now be active for snapping even when they are invisible,
    to make the drawing of "helper lines" more powerful (#112);

  * Views can now move or transform layers (with a very experimental UI);

  * Allow minipage objects to have a horizontal alignment, and let
    "Change width" function work relative to this alignment, for more
    natural behaviour in right-to-left languages;

  * Clicking on links now works in IpePresenter;

  * User interface no longer freezes during Latex compilation;

  * Run Latex after paste actions, undo, and redo, where it might be
    necessary.


What's new in the port
==
* bumped shared libraries major number (note: not sure about libipelua,
   where no function have been added/removed; but, from the code
   differences, My understanding is that its signature should be
   different; anyone with more experience/knowledge: please chime in!);

* added devel/gsl to LIB_DEPENDS (and gsl, gslcblas to WANTLIB) as
   flagged by 'make port-lib-depends-check';

* patch-src_ipelib_ipelatex_cpp removed.


Lightly tested on amd64 only.

All the best







--
Alessandro De Laurenzis
[mailto:jus...@atlantide.mooo.com]
Web: http://www.atlantide.mooo.com
LinkedIn: http://it.linkedin.com/in/delaurenzis


ipe.diff.gz
Description: application/gzip


Re: [UPDATE] graphics/ipe 7.2.13 -> 7.2.20

2020-09-01 Thread Alessandro De Laurenzis

Bi-weekly ping!

Diff re-attached.

On 21/08/2020 16:43, Alessandro De Laurenzis wrote:

Weekly ping. Re-attaching diff to ease the review process.

Cheers

On 15/08/2020 13:35, Alessandro De Laurenzis wrote:

Dear ports@ readers,

The attached diff (gzip-ed in order to avoid issues with MSDOS line
endings in emailing) updates graphics/ipe to the latest release.

What's new upstream
===
(extracted from news.txt):

  * New "laser pointer" mode that is useful when giving a presentation
    by filming directly from the Ipe canvas;

  * Added PNG prediction algorithm to Ipe's image decoder (sometimes
    needed when including PDF graphics);

  * Layers can now be active for snapping even when they are invisible,
    to make the drawing of "helper lines" more powerful (#112);

  * Views can now move or transform layers (with a very experimental UI);

  * Allow minipage objects to have a horizontal alignment, and let
    "Change width" function work relative to this alignment, for more
    natural behaviour in right-to-left languages;

  * Clicking on links now works in IpePresenter;

  * User interface no longer freezes during Latex compilation;

  * Run Latex after paste actions, undo, and redo, where it might be
    necessary.


What's new in the port
==
* bumped shared libraries major number (note: not sure about libipelua,
   where no function have been added/removed; but, from the code
   differences, My understanding is that its signature should be
   different; anyone with more experience/knowledge: please chime in!);

* added devel/gsl to LIB_DEPENDS (and gsl, gslcblas to WANTLIB) as
   flagged by 'make port-lib-depends-check';

* patch-src_ipelib_ipelatex_cpp removed.


Lightly tested on amd64 only.

All the best





--
Alessandro De Laurenzis
[mailto:jus...@atlantide.mooo.com]
Web: http://www.atlantide.mooo.com
LinkedIn: http://it.linkedin.com/in/delaurenzis


ipe.diff.gz
Description: application/gzip


Re: [UPDATE] graphics/ipe 7.2.13 -> 7.2.20

2020-08-21 Thread Alessandro De Laurenzis

Weekly ping. Re-attaching diff to ease the review process.

Cheers

On 15/08/2020 13:35, Alessandro De Laurenzis wrote:

Dear ports@ readers,

The attached diff (gzip-ed in order to avoid issues with MSDOS line
endings in emailing) updates graphics/ipe to the latest release.

What's new upstream
===
(extracted from news.txt):

  * New "laser pointer" mode that is useful when giving a presentation
    by filming directly from the Ipe canvas;

  * Added PNG prediction algorithm to Ipe's image decoder (sometimes
    needed when including PDF graphics);

  * Layers can now be active for snapping even when they are invisible,
    to make the drawing of "helper lines" more powerful (#112);

  * Views can now move or transform layers (with a very experimental UI);

  * Allow minipage objects to have a horizontal alignment, and let
    "Change width" function work relative to this alignment, for more
    natural behaviour in right-to-left languages;

  * Clicking on links now works in IpePresenter;

  * User interface no longer freezes during Latex compilation;

  * Run Latex after paste actions, undo, and redo, where it might be
    necessary.


What's new in the port
==
* bumped shared libraries major number (note: not sure about libipelua,
   where no function have been added/removed; but, from the code
   differences, My understanding is that its signature should be
   different; anyone with more experience/knowledge: please chime in!);

* added devel/gsl to LIB_DEPENDS (and gsl, gslcblas to WANTLIB) as
   flagged by 'make port-lib-depends-check';

* patch-src_ipelib_ipelatex_cpp removed.


Lightly tested on amd64 only.

All the best



--
Alessandro De Laurenzis
[mailto:jus...@atlantide.mooo.com]
Web: http://www.atlantide.mooo.com
LinkedIn: http://it.linkedin.com/in/delaurenzis


ipe.diff.gz
Description: application/gzip


[UPDATE] graphics/ipe 7.2.13 -> 7.2.20

2020-08-15 Thread Alessandro De Laurenzis

Dear ports@ readers,

The attached diff (gzip-ed in order to avoid issues with MSDOS line
endings in emailing) updates graphics/ipe to the latest release.

What's new upstream
===
(extracted from news.txt):

 * New "laser pointer" mode that is useful when giving a presentation
   by filming directly from the Ipe canvas;

 * Added PNG prediction algorithm to Ipe's image decoder (sometimes
   needed when including PDF graphics);

 * Layers can now be active for snapping even when they are invisible,
   to make the drawing of "helper lines" more powerful (#112);

 * Views can now move or transform layers (with a very experimental UI);

 * Allow minipage objects to have a horizontal alignment, and let
   "Change width" function work relative to this alignment, for more
   natural behaviour in right-to-left languages;

 * Clicking on links now works in IpePresenter;

 * User interface no longer freezes during Latex compilation;

 * Run Latex after paste actions, undo, and redo, where it might be
   necessary.


What's new in the port
==
* bumped shared libraries major number (note: not sure about libipelua,
  where no function have been added/removed; but, from the code
  differences, My understanding is that its signature should be
  different; anyone with more experience/knowledge: please chime in!);

* added devel/gsl to LIB_DEPENDS (and gsl, gslcblas to WANTLIB) as
  flagged by 'make port-lib-depends-check';

* patch-src_ipelib_ipelatex_cpp removed.


Lightly tested on amd64 only.

All the best

--
Alessandro De Laurenzis
[mailto:jus...@atlantide.mooo.com]
Web: http://www.atlantide.mooo.com
LinkedIn: http://it.linkedin.com/in/delaurenzis


ipe.diff.gz
Description: application/gzip


[BROKEN] cad/qucs-s and ninja 1.9.0

2020-07-19 Thread Alessandro De Laurenzis

Hello Rafael,

The cad/qucs-s port is currently showing wrong behaviors when the
simulator is run ("Wrong document version" message is always issued when
trying to open a schematic, some components seem to be missing, etc.)

After a quick double check, I found that it got broken after your
modifications related to upcoming ninja 1.9.0 release (which I don't see
in the tree yet), see [1].

By the way, I see "Maintainer timeout" in your commit comment, but
actually I didn't receive any heads-up (it could be because I changed my
e-mail address in that timeframe and maybe you sent a message that I've
never received).

I'm not an expert of ninja (actually, all cmake world is a bit obscure
to me...), I can only report that at least PACKAGE_VERSION variable is
not propagating correctly through the sub-dirs (there could be other
hitches, the port is very complex and it's not easy to test all the
functions), so I can't propose a definite solution.

The enclosed diff simply reverts the patches that you added/modified,
but it is of course only a temporary workaround.

Any hints?

[1] https://marc.info/?l=openbsd-ports=156060814707307=2

--
Alessandro De Laurenzis
[mailto:jus...@atlantide.mooo.com]
Web: http://www.atlantide.mooo.com
LinkedIn: http://it.linkedin.com/in/delaurenzis
Index: Makefile
===
RCS file: /cvs/ports/cad/qucs-s/Makefile,v
retrieving revision 1.6
diff -u -p -u -p -r1.6 Makefile
--- Makefile	14 Mar 2020 06:39:03 -	1.6
+++ Makefile	19 Jul 2020 08:29:29 -
@@ -4,6 +4,7 @@ COMMENT =	an universal GUI for SPICE and
 
 V =		0.0.22
 DISTNAME =	qucs-s-${V}
+REVISION =	0
 
 CATEGORIES =	cad
 HOMEPAGE =	https://ra3xdh.github.io/
Index: patches/patch-CMakeLists_txt
===
RCS file: patches/patch-CMakeLists_txt
diff -N patches/patch-CMakeLists_txt
--- patches/patch-CMakeLists_txt	22 Jun 2019 20:18:00 -	1.1
+++ /dev/null	1 Jan 1970 00:00:00 -
@@ -1,26 +0,0 @@
-$OpenBSD: patch-CMakeLists_txt,v 1.1 2019/06/22 20:18:00 rsadowski Exp $
-
-Fix build with Ninja 1.9.0.
-Create only one custom target to generate config.h to avoid duplicate ninja
-rules.
-
-Index: CMakeLists.txt
 CMakeLists.txt.orig
-+++ CMakeLists.txt
-@@ -40,6 +40,16 @@ INCLUDE( ${QT_USE_FILE} )
- 
- ADD_DEFINITIONS(${QT_DEFINITIONS})
- 
-+# configure the header config.h
-+CONFIGURE_FILE (
-+"${PROJECT_SOURCE_DIR}/config.h.cmake"
-+"${PROJECT_SOURCE_DIR}/config.h"
-+)
-+SET_SOURCE_FILES_PROPERTIES(${PROJECT_SOURCE_DIR}/config.h PROPERTIES GENERATED 1)
-+ADD_CUSTOM_TARGET(
-+generate_config_header
-+DEPENDS ${PROJECT_SOURCE_DIR}/config.h
-+)
- 
- ADD_SUBDIRECTORY( qucs )
- ADD_SUBDIRECTORY( qucs-activefilter )
Index: patches/patch-contrib_CMakeLists_txt
===
RCS file: /cvs/ports/cad/qucs-s/patches/patch-contrib_CMakeLists_txt,v
retrieving revision 1.2
diff -u -p -u -p -r1.2 patch-contrib_CMakeLists_txt
--- patches/patch-contrib_CMakeLists_txt	22 Jun 2019 20:18:00 -	1.2
+++ patches/patch-contrib_CMakeLists_txt	19 Jul 2020 08:29:29 -
@@ -1,6 +1,6 @@
 $OpenBSD: patch-contrib_CMakeLists_txt,v 1.2 2019/06/22 20:18:00 rsadowski Exp $
 
-fix man install directory
+Fix man install directory
 
 Index: contrib/CMakeLists.txt
 --- contrib/CMakeLists.txt.orig
Index: patches/patch-qucs-activefilter_CMakeLists_txt
===
RCS file: patches/patch-qucs-activefilter_CMakeLists_txt
diff -N patches/patch-qucs-activefilter_CMakeLists_txt
--- patches/patch-qucs-activefilter_CMakeLists_txt	22 Jun 2019 20:18:00 -	1.1
+++ /dev/null	1 Jan 1970 00:00:00 -
@@ -1,32 +0,0 @@
-$OpenBSD: patch-qucs-activefilter_CMakeLists_txt,v 1.1 2019/06/22 20:18:00 rsadowski Exp $
-
-Fix build with Ninja 1.9.0.
-Create only one custom target to generate config.h to avoid duplicate ninja
-rules.
-
-Index: qucs-activefilter/CMakeLists.txt
 qucs-activefilter/CMakeLists.txt.orig
-+++ qucs-activefilter/CMakeLists.txt
-@@ -27,13 +27,8 @@ IF(NOT WIN32)
-   SET(CMAKE_CXX_FLAGS "${CMAKE_CXX_FLAGS} -Wno-deprecated-register ")
- ENDIF()
- 
--#configure the header config.h
--CONFIGURE_FILE (
--"${PROJECT_SOURCE_DIR}/../config.h.cmake"
--"${PROJECT_BINARY_DIR}/config.h"
--)
--
- INCLUDE_DIRECTORIES("${PROJECT_BINARY_DIR}")
-+INCLUDE_DIRECTORIES( ${CMAKE_SOURCE_DIR} )
- 
- FIND_PACKAGE( Qt4 REQUIRED )
- SET( QT_USE_QTGUI TRUE )
-@@ -91,6 +86,7 @@ ADD_EXECUTABLE(${QUCS_NAME}activefilter MACOSX_BUNDLE 
-   ${RESOURCES_SRCS} )
- 
- TARGET_LINK_LIBRARIES(${QUCS_NAME}activefilter ${QT_LIBRARIES})
-+ADD_DEPENDENCIES(${QUCS_NAME}activefilter generate_config_header)
- 
- INSTALL(TARGETS ${QUCS_NAME}activefilter
- BUNDLE DESTINATION bin COMPONENT Runtime
Index: patches/patch-qucs-attenuator_CMakeLists_txt
===

Re: [NEW] cad/qflow

2020-07-02 Thread Alessandro De Laurenzis

Still another ping.

This is already ok sthen@ to import (but not yet in the tree).

Any other developer available to give it a try? Tarball re-attached.

On 26/06/2020 18:19, Stuart Henderson wrote:

This is OK sthen@ to import.


On 2020/06/26 16:35, Alessandro De Laurenzis wrote:

Weekly ping.

Tarball re-attached for your convenience.

While there, I changed the master site from Github to
opencircuitdesign.com (so we can avoid the on-the-fly generated
archive).

If you would like to play a bit with the port:
- make a new directory (e.g. ./qflow-trial) and copy there the enclosed
   map9v3.v file;
- change to that dir and run 'qflow gui';
- in the 'synthesys preparation' tab:
 * select map9v3.v as Verilog source file;
 * the 'Verilog module' field will be updated automatically;
 * click on 'Set stop' after every synthesis step;
- click on 'Run' in the 'Preparation' row;
- then run the other steps in sequence;
- starting after the 'Placement', you'll be able to see the layout
   clicking on 'Edit Layout' (type 'v' in Magic window to see the full
   view).




--
Alessandro De Laurenzis
[mailto:jus...@atlantide.mooo.com]
Web: http://www.atlantide.mooo.com
LinkedIn: http://it.linkedin.com/in/delaurenzis


qflow-1.4.83.tar.gz
Description: application/gzip


Re: [NEW] cad/qflow

2020-06-26 Thread Alessandro De Laurenzis

Just a note:

On 26/06/2020 16:35, Alessandro De Laurenzis wrote:
[...]

If you would like to play a bit with the port:
- make a new directory (e.g. ./qflow-trial) and copy there the enclosed
   map9v3.v file;
- change to that dir and run 'qflow gui';
- in the 'synthesys preparation' tab:
 * select map9v3.v as Verilog source file;
 * the 'Verilog module' field will be updated automatically;
 * click on 'Set stop' after every synthesis step;


If you want to avoid issues with default settings during routing step,
please select 'osu035' in the 'Technology' tab.


- click on 'Run' in the 'Preparation' row;
- then run the other steps in sequence;
- starting after the 'Placement', you'll be able to see the layout
   clicking on 'Edit Layout' (type 'v' in Magic window to see the full
   view).

On 21/06/2020 16:40, Tim Edwards wrote:

Hello Alessandro,


OSU   050/035/018 tech files have a proprietary license that says:
   [...]
   "Permission to use, copy, and modify this software and its
documentation for research and educational purposes only and without   fee
or royalty is hereby granted..."
   [...]
   I don't know if this is too restrictive; if so, we should probably
split the package; please let me know how to proceed;


This should not be a problem.  The technologies are based on the MOSIS
SCMOS technologies (see www.mosis.com).  Specifically, the process
technology file for Magic is designed to be submitted to MOSIS for use
with the TSMC foundry.  However, as of about two years ago, MOSIS stopped
supporting the SCMOS technology description for TSMC.  Therefore, designs
using these cells are, for all intents and purposes, purely educational,
as there is no way to fabricate such a design.

 Regards,
 Tim

++-+
| R. Timothy Edwards (Tim)   | email: t...@opencircuitdesign.com|
| Open Circuit Design| web:   http://opencircuitdesign.com |
| 19601 Jerusalem Road   | phone: (240) 489-3255   |
| Poolesville, MD 20837  | cell:  (408) 828-8212   |
++-+




--
Alessandro De Laurenzis
[mailto:jus...@atlantide.mooo.com]
Web: http://www.atlantide.mooo.com
LinkedIn: http://it.linkedin.com/in/delaurenzis



Re: [NEW] cad/qflow

2020-06-26 Thread Alessandro De Laurenzis

Weekly ping.

Tarball re-attached for your convenience.

While there, I changed the master site from Github to
opencircuitdesign.com (so we can avoid the on-the-fly generated
archive).

If you would like to play a bit with the port:
- make a new directory (e.g. ./qflow-trial) and copy there the enclosed
  map9v3.v file;
- change to that dir and run 'qflow gui';
- in the 'synthesys preparation' tab:
* select map9v3.v as Verilog source file;
* the 'Verilog module' field will be updated automatically;
* click on 'Set stop' after every synthesis step;
- click on 'Run' in the 'Preparation' row;
- then run the other steps in sequence;
- starting after the 'Placement', you'll be able to see the layout
  clicking on 'Edit Layout' (type 'v' in Magic window to see the full
  view).

On 21/06/2020 16:40, Tim Edwards wrote:

Hello Alessandro,


OSU   050/035/018 tech files have a proprietary license that says:
   [...]
   "Permission to use, copy, and modify this software and its
documentation for research and educational purposes only and without   fee
or royalty is hereby granted..."
   [...]
   I don't know if this is too restrictive; if so, we should probably
split the package; please let me know how to proceed;


This should not be a problem.  The technologies are based on the MOSIS
SCMOS technologies (see www.mosis.com).  Specifically, the process
technology file for Magic is designed to be submitted to MOSIS for use
with the TSMC foundry.  However, as of about two years ago, MOSIS stopped
supporting the SCMOS technology description for TSMC.  Therefore, designs
using these cells are, for all intents and purposes, purely educational,
as there is no way to fabricate such a design.

 Regards,
 Tim

++-+
| R. Timothy Edwards (Tim)   | email: t...@opencircuitdesign.com|
| Open Circuit Design| web:   http://opencircuitdesign.com |
| 19601 Jerusalem Road   | phone: (240) 489-3255   |
| Poolesville, MD 20837  | cell:  (408) 828-8212   |
++-----+


--
Alessandro De Laurenzis
[mailto:jus...@atlantide.mooo.com]
Web: http://www.atlantide.mooo.com
LinkedIn: http://it.linkedin.com/in/delaurenzis


qflow-1.4.83.tar.gz
Description: application/gzip
/* 
 *--
 * This module converts a counter value N into a reset value
 * for an 8-bit LFSR.  The count is initialized by "reset" high
 * or "start" transition high.  When the count is valid, it is
 * latched into "dp" and the signal "done" is raised to indicate
 * a valid new value of "dp".
 *--
 */

module map9v3(clock, reset, start, N, dp, done, counter, sr);

input clock;
input   start; // run at rising edge of start
input   reset; // high is reset case ( run after reset)
input   [8:0] N; // the number to divide by

output  [8:0] dp;  // these outputs drive an LFSR counter
output   done;
output [7:0] counter;
output  [7:0] sr;

reg [8:0] dp;
reg [7:0] sr;
reg [7:0] counter;
reg [1:0] startbuf;
reg   done;
reg [2:0] state;

parameter INIT   = 3'b000;
parameter RUN   = 3'b001;
parameter ALMOSTDONE  = 3'b010;
parameter DONE   = 3'b011;
parameter WAIT   = 3'b100;


always @(posedge clock or posedge reset) begin

if (reset == 1) begin

 dp <= 9'b0;
 sr <= 8'b0;
 counter <= 8'b0;
 startbuf <= 2'b00;
 done <= 0;
 state <= INIT;

end else begin

 if (state == INIT) begin
 counter <= 255 - N[8:1] + 3;
 sr <= 8'b0;
 done <= 0;
 state <= RUN;

 end else if (state == RUN) begin
 sr[7] <= sr[6];
 sr[6] <= sr[5];
 sr[5] <= sr[4];
 sr[4] <= sr[3];
 sr[3] <= sr[2];
 sr[2] <= sr[1];
 sr[1] <= sr[0];
 sr[0] <= ~(sr[7] ^ sr[5] ^ sr[4] ^ sr[3]);
 counter <= counter - 1;
 if (counter == 0) begin
state <= ALMOSTDONE;
 end

 end else if (state == ALMOSTDONE) begin
 dp[0] <= N[0];
 dp[8:1] <= sr[7:0];
 state <= DONE;

 end else if (state == DONE) begin
 done <= 1;
 state <= WAIT;

 end else if (state == WAIT) begin
 if (startbuf == 2'b01) begin
  state <= INIT;
 end
 end

 startbuf <= {startbuf[0], start};
end
end

endmodule


[NEW] cad/qflow

2020-06-21 Thread Alessandro De Laurenzis

Greetings,

This is a proposal for a new port: cad/qflow, a full end-to-end digital 
synthesis flow for VLSI ASIC designs.


DESCR
=
A digital synthesis flow is a set of tools and methods used to turn a
VLSI design written in a high-level behavioral language like Verilog
or VHDL into a physical circuit, which can either be configuration code
for an FPGA target or a layout in a specific technology, that would
become part of an IC.
Qflow uses a complete and open source tool chain for synthesizing
digital circuits starting from Verilog source and ending in physical
layout for a specific target fabrication process.

I started working on this port more than two years ago, firstly 
importing the whole toolchain:

- cad/abc
- cad/yosys
- cad/graywolf
- cad/opensta
- cad/qrouter
- cad/magic
- cad/netgen
and then actively cooperating with upstream (which I'm adding in CC; Tim
always reacted very promptly to my questions/suggestions/proposals; 
thanks Tim!) in order to make all the scripts and executables properly 
working on OpenBSD platforms; it took a while, but finally this version 
is stable enough I think.


Some comments on the port:
- I patched scripts/magic_view.sh and scripts/qflow_manager.py.in, in 
  order to use OpenGL as default rendering for cad/magic (instead of 
  XR/Cairo, which is not working on OpenBSD, see [1]);


- scripts/qrouter.sh requires a patch to use GNU grep instead of base 
  version;


- src/readlef.h requires __compar_fn_t definition for non-Linux 
  platforms (I'll discuss this point with upstream soon);


- other patches are trivial;

- license line is complex (as usual, when technology files are 
  included...); upstream didn't add any license files, so I specified 
  where to find the info; gscl45nm has been released under the Apache-2 
  license, so I see no problem in redistributing the files; OSU 
  050/035/018 tech files have a proprietary license that says:

  [...]
  "Permission to use, copy, and modify this software and its 
  documentation for research and educational purposes only and without 
  fee or royalty is hereby granted..."

  [...]
  I don't know if this is too restrictive; if so, we should probably 
  split the package; please let me know how to proceed; qflow itself has 
  a "GPL Copyright" (see README file in the distribution tarball), but 
  no applicable license version is specified;


- 'package' target flags the following warnings:
  [...]
  Creating package qflow-1.4.83
  Warning: symlink(s) point to non-existent 
/usr/ports/pobj/qflow-1.4.83/fake-amd64/usr/local/bin/abc
  
/usr/ports/pobj/qflow-1.4.83/fake-amd64/usr/local/share/qflow/bin/yosys-abc
  Warning: symlink(s) point to non-existent 
/usr/ports/pobj/qflow-1.4.83/fake-amd64/usr/local/bin/graywolf
  
/usr/ports/pobj/qflow-1.4.83/fake-amd64/usr/local/share/qflow/bin/graywolf
  Warning: symlink(s) point to non-existent 
/usr/ports/pobj/qflow-1.4.83/fake-amd64/usr/local/bin/magic
  
/usr/ports/pobj/qflow-1.4.83/fake-amd64/usr/local/share/qflow/bin/magic
  Warning: symlink(s) point to non-existent 
/usr/ports/pobj/qflow-1.4.83/fake-amd64/usr/local/bin/netgen
  
/usr/ports/pobj/qflow-1.4.83/fake-amd64/usr/local/share/qflow/bin/netgen
  Warning: symlink(s) point to non-existent 
/usr/ports/pobj/qflow-1.4.83/fake-amd64/usr/local/bin/qrouter
  
/usr/ports/pobj/qflow-1.4.83/fake-amd64/usr/local/share/qflow/bin/qrouter
  Warning: symlink(s) point to non-existent 
/usr/ports/pobj/qflow-1.4.83/fake-amd64/usr/local/bin/sta
  /usr/ports/pobj/qflow-1.4.83/fake-amd64/usr/local/share/qflow/bin/sta
  Warning: symlink(s) point to non-existent 
/usr/ports/pobj/qflow-1.4.83/fake-amd64/usr/local/bin/yosys
  
/usr/ports/pobj/qflow-1.4.83/fake-amd64/usr/local/share/qflow/bin/yosys
  [...]
  but I think this is normal, we should accept those messages (or please 
  advice how to get rid of them otherwise).


Tested on amd64 only. Taking MAINTAINER. Tarball attached.

[1] https://marc.info/?l=openbsd-ports=158363208902918=2

--
Alessandro De Laurenzis
[mailto:jus...@atlantide.mooo.com]
Web: http://www.atlantide.mooo.com
LinkedIn: http://it.linkedin.com/in/delaurenzis


qflow-1.4.83.tar.gz
Description: application/tar-gz


Re: update mail/claws-mail

2020-05-21 Thread Alessandro De Laurenzis
Just out of my curiosity, is there any way to change the date format in the 
vcalendar plugin? It seems it doesn't react to LC_TIME/LC_ALL...

On May 21, 2020 8:14:48 PM GMT+02:00, Solene Rapenne  wrote:
>Le Thu, 14 May 2020 16:32:07 +0200,
>Solene Rapenne  a écrit :
>
>> This updates claws-mail to last version.
>> 
>> I also removed bsfilter module because it requires bsfilter and we
>> don't have it in ports.
>> 
>> I enabled the crash dialog because I had a few crashes when using
>> malloc options. And of course, I never had a crash since I enabled
>> that option.
>> 
>> Some patches have been merged upstream.
>> 
>> Index: Makefile
>> ===
>> RCS file: /cvs/ports/mail/claws-mail/Makefile,v
>> retrieving revision 1.126
>> diff -u -p -r1.126 Makefile
>> --- Makefile 12 Mar 2020 20:08:56 -  1.126
>> +++ Makefile 14 May 2020 11:51:48 -
>> @@ -6,7 +6,7 @@ COMMENT-spamassassin=spamassassin plugi
>>  COMMENT-pdfviewer=  pdfviewer plugin
>>  COMMENT-gdata=  gdata plugin
>>  
>> -V=  3.17.4
>> +V=  3.17.5
>>  DISTNAME=   claws-mail-${V}
>>  PKGNAME-main=   ${DISTNAME}
>>  PKGNAME-bogofilter= claws-mail-bogofilter-${V}
>> @@ -14,12 +14,6 @@ PKGNAME-spamassassin= claws-mail-spamass
>>  PKGNAME-pdfviewer=  claws-mail-pdfviewer-${V}
>>  PKGNAME-gdata=  claws-mail-gdata-${V}
>>  
>> -REVISION-main=  3
>> -REVISION-bogofilter=0
>> -REVISION-pdfviewer= 1
>> -REVISION-spamassassin=  0
>> -REVISION-gdata= 1
>> -
>>  CATEGORIES= mail news
>>  
>>  HOMEPAGE=   https://www.claws-mail.org/
>> @@ -110,6 +104,8 @@ CONFIGURE_ARGS+= --disable-acpi_notifier
>>  --disable-geolocation-plugin \
>>  --disable-python-plugin \
>>  --disable-tnef_parse-plugin \
>> +--disable-bsfilter-plugin \
>> +--enable-crash-dialog \
>>  --enable-new-addrbook
>>  
>>  USE_GMAKE=  Yes
>> @@ -135,8 +131,7 @@ LIB_DEPENDS-main+=   databases/openldap
>>  CONFIGURE_ARGS+=--disable-ldap
>>  .endif
>>  
>> -TOOLS=  OOo2claws-mail.pl \
>> -acroread2claws-mail.pl \
>> +TOOLS=  acroread2claws-mail.pl \
>>  calypso_convert.pl \
>>  claws-mail-compose-insert-files.pl \
>>  convert_mbox.pl \
>> @@ -145,7 +140,8 @@ TOOLS=   OOo2claws-mail.pl \
>>  filter_conv.pl filter_conv_new.pl \
>>  fix_date.sh \
>>  gif2xface.pl \
>> -google_msgid.pl google_search.pl \
>> +google_msgid.pl \
>> +ddg_search.pl \
>>  kmail-mailbox2claws-mail.pl \
>>  kmail2claws-mail.pl kmail2claws-mail_v2.pl \
>>  mairix.sh \
>> Index: distinfo
>> ===
>> RCS file: /cvs/ports/mail/claws-mail/distinfo,v
>> retrieving revision 1.37
>> diff -u -p -r1.37 distinfo
>> --- distinfo 25 Sep 2019 00:33:55 -  1.37
>> +++ distinfo 14 May 2020 11:51:48 -
>> @@ -1,2 +1,2 @@
>> -SHA256 (claws/claws-mail-3.17.4.tar.gz) =
>> rbPjYadGTZOOHW8jpiQzyJh4xuABeSxcqY0izl1O+jc= -SIZE
>> (claws/claws-mail-3.17.4.tar.gz) = 12167751 +SHA256
>> (claws/claws-mail-3.17.5.tar.gz) =
>> 955MfwidCOayszI6kLb0aZGhVM4Wi6SoKdWTt6V2jHs= +SIZE
>> (claws/claws-mail-3.17.5.tar.gz) = 12186940 Index:
>> patches/patch-src_compose_c
>> ===
>> RCS file: patches/patch-src_compose_c diff -N
>> patches/patch-src_compose_c --- patches/patch-src_compose_c  8
>> Jan 2020 10:27:16 -  1.1 +++ /dev/null   1 Jan 1970
>> 00:00:00 - @@ -1,281 +0,0 @@ -$OpenBSD: patch-src_compose_c,v 1.1
>> 2020/01/08 10:27:16 sthen Exp $ -
>> -Adapted from
>> -
>> -From 6759b5272b412a467098d7699c767b8611cde1fd Mon Sep 17 00:00:00
>> 2001 -From: Paul 
>> -Date: Tue, 31 Dec 2019 09:58:13 +
>> -Subject: [PATCH] revert pasting images as attachments
>> 66fccde959a1b4addee971412b35d4b51d8272b1 -
>> -Index: src/compose.c
>>  src/compose.c.orig
>> -+++ src/compose.c
>> -@@ -10897,196 +10897,58 @@ static void
>> entry_copy_clipboard(GtkWidget *entry)
>> -gtk_clipboard_get(GDK_SELECTION_CLIPBOARD));
>> - }
>> - 
>> --static void paste_text(Compose *compose, GtkWidget *entry,
>> --  gboolean wrap, GdkAtom clip, GtkTextIter
>> *insert_place, --   const gchar *contents)
>> --{
>> --   GtkTextBuffer *buffer =
>> gtk_text_view_get_buffer(GTK_TEXT_VIEW(entry)); --   GtkTextMark
>> *mark_start = gtk_text_buffer_get_insert(buffer); --
>> GtkTextIter start_iter, end_iter; -- gint start, end;
>> --
>> --   if (contents == NULL)
>> --   return;
>> --
>> --   /* we shouldn't delete the selection when
>> middle-click-pasting, or we --* 

[FIX] wmctrl on 64-bit systems

2020-05-09 Thread Alessandro De Laurenzis

Greetings,

I extensively use wmctrl, but it never worked reliably for me on all my 
amd64 machines (specifically, the -l option didn't list all X clients 
and the workspace number for sticky windows was clearly wrong).


I recently decided to have a look at the code and discovered that the 
root cause is the XGetWindowProperty() function, whose semantics is a 
bit tricky: 32-bit data is stored in long format, so the actual number 
of 32-bit returned elements should take into account the value of 
sizeof(long) to ensure portability to 64 bit systems.


I tried the enclosed patch and verified it's working flawlessly, 
restoring the expected behavior for "wmctrl -l" without impacting on the 
other command's functions (however, I didn't test it on a 32bit 
architecture, in order to confirm that no regressions have been 
introduced).


After a quick search on the net I found out that both Debian and Gentoo 
uses the same approach (see e.g. [1]).


Even if this is something that should be submitted upstream, it seems 
that the author is not reacting for maintenance, so I'm proposing the 
patch to ports@ since I consider it a significant usability improvement.


All the best

[1] 
https://gitweb.gentoo.org/repo/gentoo.git/tree/x11-misc/wmctrl/files/amd64-Xlib.patch


--
Alessandro De Laurenzis
[mailto:jus...@atlantide.mooo.com]
Web: http://www.atlantide.mooo.com
LinkedIn: http://it.linkedin.com/in/delaurenzis
Index: Makefile
===
RCS file: /cvs/ports/x11/wmctrl/Makefile,v
retrieving revision 1.21
diff -u -p -u -p -r1.21 Makefile
--- Makefile12 Jul 2019 20:51:24 -  1.21
+++ Makefile9 May 2020 13:55:11 -
@@ -2,7 +2,7 @@
 
 COMMENT=   interact with an EWMH/NetWM window manager
 DISTNAME=  wmctrl-1.07
-REVISION=  8
+REVISION=  9
 
 CATEGORIES=x11
 MASTER_SITES=  ${HOMEPAGE}
Index: patches/patch-main_c
===
RCS file: patches/patch-main_c
diff -N patches/patch-main_c
--- /dev/null   1 Jan 1970 00:00:00 -
+++ patches/patch-main_c9 May 2020 13:55:11 -
@@ -0,0 +1,24 @@
+$OpenBSD$
+
+Index: main.c
+--- main.c.orig
 main.c
+@@ -1439,8 +1439,17 @@ static gchar *get_property (Display *disp, Window win,
+ return NULL;
+ }
+ 
+-/* null terminate the result to make string handling easier */
++/* null terminate the result to make string handling easier
++ *
++ * Note: Data returned in 32 bit format is stored as long, so
++ * the actual number of 32 bit elements should be calculated
++ * considering the sizeof(long) value, to ensure portability
++ * to 64 bit systems
++ */
+ tmp_size = (ret_format / 8) * ret_nitems;
++if (ret_format == 32) {
++tmp_size *= sizeof(long) / 4;
++}
+ ret = g_malloc(tmp_size + 1);
+ memcpy(ret, ret_prop, tmp_size);
+ ret[tmp_size] = '\0';


Re: [UPDATE] x11/menumaker 0.99.10 -> 0.99.11

2020-04-18 Thread Alessandro De Laurenzis

Greeting,

Weekly ping, diff re-attached.

On 12/04/2020 - 16:08, Alessandro De Laurenzis wrote:

Greetings,

The attached diff updates x11/menumaker to the latest revision.

What's new upstream
===
Support for JWM

What's new in the port
==
- updated maintainer email address

Tested on amd64 only.


--
Alessandro De Laurenzis
[mailto:jus...@atlantide.mooo.com]
Web: http://www.atlantide.mooo.com
LinkedIn: http://it.linkedin.com/in/delaurenzis
Index: Makefile
===
RCS file: /cvs/ports/x11/menumaker/Makefile,v
retrieving revision 1.4
diff -u -p -u -p -r1.4 Makefile
--- Makefile12 Jul 2019 20:51:17 -  1.4
+++ Makefile12 Apr 2020 14:02:59 -
@@ -1,14 +1,13 @@
 # $OpenBSD: Makefile,v 1.4 2019/07/12 20:51:17 sthen Exp $
 
 COMMENT =  menu generation utility for X window managers
-DISTNAME = menumaker-0.99.10
+DISTNAME = menumaker-0.99.11
 CATEGORIES =   x11
-REVISION = 0
 
 MASTER_SITES = ${MASTER_SITE_SOURCEFORGE:=menumaker/}
 
 HOMEPAGE = http://menumaker.sourceforge.net/
-MAINTAINER =   Alessandro De Laurenzis 
+MAINTAINER =   Alessandro De Laurenzis 
 
 # BSD
 PERMIT_PACKAGE =   Yes
Index: distinfo
===
RCS file: /cvs/ports/x11/menumaker/distinfo,v
retrieving revision 1.1.1.1
diff -u -p -u -p -r1.1.1.1 distinfo
--- distinfo6 Feb 2019 11:33:45 -   1.1.1.1
+++ distinfo12 Apr 2020 14:02:59 -
@@ -1,2 +1,2 @@
-SHA256 (menumaker-0.99.10.tar.gz) = 
fiLbDw2TfTO7M44D9urJqlSbmnkMZm5RmxPeOd5mpNY=
-SIZE (menumaker-0.99.10.tar.gz) = 202580
+SHA256 (menumaker-0.99.11.tar.gz) = 
nYMa267yEB2LjYLkjXZMLBqAo46X3PkHQOtUDm2z+TY=
+SIZE (menumaker-0.99.11.tar.gz) = 205196
Index: pkg/PLIST
===
RCS file: /cvs/ports/x11/menumaker/pkg/PLIST,v
retrieving revision 1.1.1.1
diff -u -p -u -p -r1.1.1.1 PLIST
--- pkg/PLIST   6 Feb 2019 11:33:45 -   1.1.1.1
+++ pkg/PLIST   12 Apr 2020 14:02:59 -
@@ -10,17 +10,19 @@ share/menumaker/MenuMaker/CLI.py
 share/menumaker/MenuMaker/Deskmenu.py
 share/menumaker/MenuMaker/FluxBox.py
 share/menumaker/MenuMaker/IceWM.py
+share/menumaker/MenuMaker/JWM.py
 share/menumaker/MenuMaker/OpenBox3.py
 share/menumaker/MenuMaker/PekWM.py
 share/menumaker/MenuMaker/WindowMaker.py
 share/menumaker/MenuMaker/Xfce4.py
 share/menumaker/MenuMaker/__init__.py
-share/menumaker/MenuMaker/${MODPY_PYCACHE}/
+${MODPY_COMMENT}share/menumaker/MenuMaker/${MODPY_PYCACHE}/
 share/menumaker/MenuMaker/${MODPY_PYCACHE}BlackBox.${MODPY_PYC_MAGIC_TAG}pyc
 share/menumaker/MenuMaker/${MODPY_PYCACHE}CLI.${MODPY_PYC_MAGIC_TAG}pyc
 share/menumaker/MenuMaker/${MODPY_PYCACHE}Deskmenu.${MODPY_PYC_MAGIC_TAG}pyc
 share/menumaker/MenuMaker/${MODPY_PYCACHE}FluxBox.${MODPY_PYC_MAGIC_TAG}pyc
 share/menumaker/MenuMaker/${MODPY_PYCACHE}IceWM.${MODPY_PYC_MAGIC_TAG}pyc
+share/menumaker/MenuMaker/${MODPY_PYCACHE}JWM.${MODPY_PYC_MAGIC_TAG}pyc
 share/menumaker/MenuMaker/${MODPY_PYCACHE}OpenBox3.${MODPY_PYC_MAGIC_TAG}pyc
 share/menumaker/MenuMaker/${MODPY_PYCACHE}PekWM.${MODPY_PYC_MAGIC_TAG}pyc
 share/menumaker/MenuMaker/${MODPY_PYCACHE}WindowMaker.${MODPY_PYC_MAGIC_TAG}pyc
@@ -33,7 +35,7 @@ share/menumaker/Prophet/
 share/menumaker/Prophet/Categories.py
 share/menumaker/Prophet/Debian/
 share/menumaker/Prophet/Debian/__init__.py
-share/menumaker/Prophet/Debian/${MODPY_PYCACHE}/
+${MODPY_COMMENT}share/menumaker/Prophet/Debian/${MODPY_PYCACHE}/
 
share/menumaker/Prophet/Debian/${MODPY_PYCACHE}__init__.${MODPY_PYC_MAGIC_TAG}pyc
 share/menumaker/Prophet/Debian/menu/
 share/menumaker/Prophet/Debian/menu/debian-2.2r5
@@ -42,7 +44,7 @@ share/menumaker/Prophet/Debian/menu/ubun
 share/menumaker/Prophet/Debian/menu/ubuntu-5.10
 share/menumaker/Prophet/Desktop/
 share/menumaker/Prophet/Desktop/__init__.py
-share/menumaker/Prophet/Desktop/${MODPY_PYCACHE}/
+${MODPY_COMMENT}share/menumaker/Prophet/Desktop/${MODPY_PYCACHE}/
 
share/menumaker/Prophet/Desktop/${MODPY_PYCACHE}__init__.${MODPY_PYC_MAGIC_TAG}pyc
 share/menumaker/Prophet/Legacy/
 share/menumaker/Prophet/Legacy/Development.py
@@ -53,7 +55,7 @@ share/menumaker/Prophet/Legacy/Multimedi
 share/menumaker/Prophet/Legacy/Network.py
 share/menumaker/Prophet/Legacy/Shell.py
 share/menumaker/Prophet/Legacy/__init__.py
-share/menumaker/Prophet/Legacy/${MODPY_PYCACHE}/
+${MODPY_COMMENT}share/menumaker/Prophet/Legacy/${MODPY_PYCACHE}/
 
share/menumaker/Prophet/Legacy/${MODPY_PYCACHE}Development.${MODPY_PYC_MAGIC_TAG}pyc
 share/menumaker/Prophet/Legacy/${MODPY_PYCACHE}Editor.${MODPY_PYC_MAGIC_TAG}pyc
 
share/menumaker/Prophet/Legacy/${MODPY_PYCACHE}Emulator.${MODPY_PYC_MAGIC_TAG}pyc
@@ -63,10 +65,10 @@ share/menumaker/Prophet/Legacy/${MODPY_P
 share/menumaker/Prophet/Legacy/${MODPY_PYCACHE}Shell.${MODPY_PYC_MAGIC_TAG}pyc
 
share/menumaker/Prophet/Legacy/${MODPY_PYCACHE}__init__.${MODPY_PYC_MAGIC_TAG}pyc
 share/menumaker

[UPDATE] x11/menumaker 0.99.10 -> 0.99.11

2020-04-12 Thread Alessandro De Laurenzis

Greetings,

The attached diff updates x11/menumaker to the latest revision.

What's new upstream
===
Support for JWM

What's new in the port
==
- updated maintainer email address

Tested on amd64 only.

--
Alessandro De Laurenzis
[mailto:jus...@atlantide.mooo.com]
Web: http://www.atlantide.mooo.com
LinkedIn: http://it.linkedin.com/in/delaurenzis
Index: Makefile
===
RCS file: /cvs/ports/x11/menumaker/Makefile,v
retrieving revision 1.4
diff -u -p -u -p -r1.4 Makefile
--- Makefile12 Jul 2019 20:51:17 -  1.4
+++ Makefile12 Apr 2020 14:02:59 -
@@ -1,14 +1,13 @@
 # $OpenBSD: Makefile,v 1.4 2019/07/12 20:51:17 sthen Exp $
 
 COMMENT =  menu generation utility for X window managers
-DISTNAME = menumaker-0.99.10
+DISTNAME = menumaker-0.99.11
 CATEGORIES =   x11
-REVISION = 0
 
 MASTER_SITES = ${MASTER_SITE_SOURCEFORGE:=menumaker/}
 
 HOMEPAGE = http://menumaker.sourceforge.net/
-MAINTAINER =   Alessandro De Laurenzis 
+MAINTAINER =   Alessandro De Laurenzis 
 
 # BSD
 PERMIT_PACKAGE =   Yes
Index: distinfo
===
RCS file: /cvs/ports/x11/menumaker/distinfo,v
retrieving revision 1.1.1.1
diff -u -p -u -p -r1.1.1.1 distinfo
--- distinfo6 Feb 2019 11:33:45 -   1.1.1.1
+++ distinfo12 Apr 2020 14:02:59 -
@@ -1,2 +1,2 @@
-SHA256 (menumaker-0.99.10.tar.gz) = 
fiLbDw2TfTO7M44D9urJqlSbmnkMZm5RmxPeOd5mpNY=
-SIZE (menumaker-0.99.10.tar.gz) = 202580
+SHA256 (menumaker-0.99.11.tar.gz) = 
nYMa267yEB2LjYLkjXZMLBqAo46X3PkHQOtUDm2z+TY=
+SIZE (menumaker-0.99.11.tar.gz) = 205196
Index: pkg/PLIST
===
RCS file: /cvs/ports/x11/menumaker/pkg/PLIST,v
retrieving revision 1.1.1.1
diff -u -p -u -p -r1.1.1.1 PLIST
--- pkg/PLIST   6 Feb 2019 11:33:45 -   1.1.1.1
+++ pkg/PLIST   12 Apr 2020 14:02:59 -
@@ -10,17 +10,19 @@ share/menumaker/MenuMaker/CLI.py
 share/menumaker/MenuMaker/Deskmenu.py
 share/menumaker/MenuMaker/FluxBox.py
 share/menumaker/MenuMaker/IceWM.py
+share/menumaker/MenuMaker/JWM.py
 share/menumaker/MenuMaker/OpenBox3.py
 share/menumaker/MenuMaker/PekWM.py
 share/menumaker/MenuMaker/WindowMaker.py
 share/menumaker/MenuMaker/Xfce4.py
 share/menumaker/MenuMaker/__init__.py
-share/menumaker/MenuMaker/${MODPY_PYCACHE}/
+${MODPY_COMMENT}share/menumaker/MenuMaker/${MODPY_PYCACHE}/
 share/menumaker/MenuMaker/${MODPY_PYCACHE}BlackBox.${MODPY_PYC_MAGIC_TAG}pyc
 share/menumaker/MenuMaker/${MODPY_PYCACHE}CLI.${MODPY_PYC_MAGIC_TAG}pyc
 share/menumaker/MenuMaker/${MODPY_PYCACHE}Deskmenu.${MODPY_PYC_MAGIC_TAG}pyc
 share/menumaker/MenuMaker/${MODPY_PYCACHE}FluxBox.${MODPY_PYC_MAGIC_TAG}pyc
 share/menumaker/MenuMaker/${MODPY_PYCACHE}IceWM.${MODPY_PYC_MAGIC_TAG}pyc
+share/menumaker/MenuMaker/${MODPY_PYCACHE}JWM.${MODPY_PYC_MAGIC_TAG}pyc
 share/menumaker/MenuMaker/${MODPY_PYCACHE}OpenBox3.${MODPY_PYC_MAGIC_TAG}pyc
 share/menumaker/MenuMaker/${MODPY_PYCACHE}PekWM.${MODPY_PYC_MAGIC_TAG}pyc
 share/menumaker/MenuMaker/${MODPY_PYCACHE}WindowMaker.${MODPY_PYC_MAGIC_TAG}pyc
@@ -33,7 +35,7 @@ share/menumaker/Prophet/
 share/menumaker/Prophet/Categories.py
 share/menumaker/Prophet/Debian/
 share/menumaker/Prophet/Debian/__init__.py
-share/menumaker/Prophet/Debian/${MODPY_PYCACHE}/
+${MODPY_COMMENT}share/menumaker/Prophet/Debian/${MODPY_PYCACHE}/
 
share/menumaker/Prophet/Debian/${MODPY_PYCACHE}__init__.${MODPY_PYC_MAGIC_TAG}pyc
 share/menumaker/Prophet/Debian/menu/
 share/menumaker/Prophet/Debian/menu/debian-2.2r5
@@ -42,7 +44,7 @@ share/menumaker/Prophet/Debian/menu/ubun
 share/menumaker/Prophet/Debian/menu/ubuntu-5.10
 share/menumaker/Prophet/Desktop/
 share/menumaker/Prophet/Desktop/__init__.py
-share/menumaker/Prophet/Desktop/${MODPY_PYCACHE}/
+${MODPY_COMMENT}share/menumaker/Prophet/Desktop/${MODPY_PYCACHE}/
 
share/menumaker/Prophet/Desktop/${MODPY_PYCACHE}__init__.${MODPY_PYC_MAGIC_TAG}pyc
 share/menumaker/Prophet/Legacy/
 share/menumaker/Prophet/Legacy/Development.py
@@ -53,7 +55,7 @@ share/menumaker/Prophet/Legacy/Multimedi
 share/menumaker/Prophet/Legacy/Network.py
 share/menumaker/Prophet/Legacy/Shell.py
 share/menumaker/Prophet/Legacy/__init__.py
-share/menumaker/Prophet/Legacy/${MODPY_PYCACHE}/
+${MODPY_COMMENT}share/menumaker/Prophet/Legacy/${MODPY_PYCACHE}/
 
share/menumaker/Prophet/Legacy/${MODPY_PYCACHE}Development.${MODPY_PYC_MAGIC_TAG}pyc
 share/menumaker/Prophet/Legacy/${MODPY_PYCACHE}Editor.${MODPY_PYC_MAGIC_TAG}pyc
 
share/menumaker/Prophet/Legacy/${MODPY_PYCACHE}Emulator.${MODPY_PYC_MAGIC_TAG}pyc
@@ -63,10 +65,10 @@ share/menumaker/Prophet/Legacy/${MODPY_P
 share/menumaker/Prophet/Legacy/${MODPY_PYCACHE}Shell.${MODPY_PYC_MAGIC_TAG}pyc
 
share/menumaker/Prophet/Legacy/${MODPY_PYCACHE}__init__.${MODPY_PYC_MAGIC_TAG}pyc
 share/menumaker/Prophet/__init__.py
-share/menumaker/Prophet/${MODPY_PYCACHE}/
+${MODPY_COMMENT}share/menumaker/Prophet

Re: NEW: x11/tigervnc

2020-03-11 Thread Alessandro De Laurenzis
Hello Stuart,

Wouldn't it be "tigervncviewer" a more suitable name for binary/manpage?


On March 10, 2020 11:38:48 PM GMT+01:00, Stuart Henderson 
 wrote:
>ok to import this?
>
>---
>TigerVNC is a high-performance, platform-neutral implementation of VNC
>(Virtual Network Computing), a client/server application that allows
>users to launch and interact with graphical applications on remote
>machines.
>
>TigerVNC provides the levels of performance necessary to run 3D and
>video applications, and it attempts to maintain a common look and feel
>and re-use components, where possible, across the various platforms
>that it supports. TigerVNC also provides extensions for advanced
>authentication methods and TLS encryption.
>
>This package provides TigerVNC's viewer and "x0vncserver", which shares
>an existing X server (typically, one that is connected to a physical
>screen) with viewers on the network.
>---
>
>(tigervnc also offers Xvnc, a standalone X server, but as this requires
>sources for Xserver it's rather more complicated to build in ports,
>and in many cases x0vncserver is exactly what you want - it's a greatly
>improved alternative to ports/x11/x11vnc).

-- 
Inviato dal mio dispositivo Android con K-9 Mail. Perdonate la brevità.

[UPDATE] cad/qucs-s 0.0.21p2 -> 0.0.22

2020-03-08 Thread Alessandro De Laurenzis

Greetings,

The attached diff updates cad/qucs-s to the latest revision.


What's new upstream
===
This release contains mainly bugfixes:
- Added SPICEINIT component;
- Fixed OpAmp input pins order;
- Added 4-pin NMOS and PMOS symbols;
- Added AppImage Package.


What's new in the port
==
- updated maintainer email address;
- as already highlighted in the first submission, portcheck is flagging 
  the presence of python modules without compiled versions, but those 
  are actually only examples and I don't think it is worth adding python 
  as a dependency just for that (there are also examples for Octave...).



Tested on amd64 only.

-- Alessandro De Laurenzis
[mailto:jus...@atlantide.mooo.com]
Web: http://www.atlantide.mooo.com
LinkedIn: http://it.linkedin.com/in/delaurenzis
Index: Makefile
===
RCS file: /cvs/ports/cad/qucs-s/Makefile,v
retrieving revision 1.5
diff -u -p -u -p -r1.5 Makefile
--- Makefile1 Nov 2019 11:09:55 -   1.5
+++ Makefile8 Mar 2020 17:34:52 -
@@ -2,13 +2,12 @@
 
 COMMENT =  an universal GUI for SPICE and not-SPICE circuit simulators
 
-V =0.0.21
+V =0.0.22
 DISTNAME = qucs-s-${V}
-REVISION = 2
 
 CATEGORIES =   cad
 HOMEPAGE = https://ra3xdh.github.io/
-MAINTAINER =   Alessandro De Laurenzis 
+MAINTAINER =   Alessandro De Laurenzis 
 
 MASTER_SITES = https://github.com/ra3xdh/qucs_s/releases/download/${V}/
 
Index: distinfo
===
RCS file: /cvs/ports/cad/qucs-s/distinfo,v
retrieving revision 1.1.1.1
diff -u -p -u -p -r1.1.1.1 distinfo
--- distinfo2 May 2019 03:34:39 -   1.1.1.1
+++ distinfo8 Mar 2020 17:34:52 -
@@ -1,2 +1,2 @@
-SHA256 (qucs-s-0.0.21.tar.gz) = 5tnU1w5KLNT0mNsQwScZLvmASkJO3o5XdUcTBnb1k3U=
-SIZE (qucs-s-0.0.21.tar.gz) = 11270570
+SHA256 (qucs-s-0.0.22.tar.gz) = kHpY6XPhhRo1b3JoSVYMLWNx1OUQgZT5RwqgjGZNDvU=
+SIZE (qucs-s-0.0.22.tar.gz) = 11271279


[UPDATE] cad/opensta 2.0.12.20190329 -> 2.0.18.20200308

2020-03-08 Thread Alessandro De Laurenzis

Greetings,

The attached diff updates cad/opensta to a very recent commit.

This is a trivial update port-wise, but a big jump in terms of 
features/bug-fixing.



What's new upstream
===
This is just an incomplete list of upstream's activity:
- set_power_activity -input applies to floating inputs;
- power reg activity revisited;
- Sta::insert_buffer;
- power use propagted activities for internal power;
- replace_cell checks;
- ConcreteCell/Port pointers to corresponding liberty;
- Liberty cell drive_resistance property;
- support equiv cells across libraries;
- set_clock_sense -> set_sense;
- get_lib_cells allow wildcard lib name;
- VerilogWriter now uses liberty bus port order;
- sdc matches for verilog port nets like \foo[2][0];
- write_verilog escaped bus names;
- create_clock redef preserve propagated;
- slew limits on ideal clk nets are not checked anymore;
- multi-driver net delay calc with multiple threads.


What's new in the port
==
- updated maintainer email address;
- ApiChanges.txt added to ${PREFIX}/share/doc/opensta;
- I noticed that ChangeLog.txt is practically unmaintained, but since it 
  is still part of the distribution files, I left it as it was; if it 
  should be removed, just let me know;
- there is now a regression suite (very basic, but still useful); I 
  modified the Makefile to allow its execution; all tests are OK.


Tested on amd64 only.

--
Alessandro De Laurenzis
[mailto:jus...@atlantide.mooo.com]
Web: http://www.atlantide.mooo.com
LinkedIn: http://it.linkedin.com/in/delaurenzis
Index: Makefile
===
RCS file: /cvs/ports/cad/opensta/Makefile,v
retrieving revision 1.6
diff -u -p -u -p -r1.6 Makefile
--- Makefile18 Dec 2019 07:42:27 -  1.6
+++ Makefile8 Mar 2020 16:56:24 -
@@ -3,16 +3,15 @@
 COMMENT =  Parallax Static Timing Analyzer
 
 # use version number from git log
-DISTNAME = opensta-2.0.12.20190329
-REVISION = 0
+DISTNAME = opensta-2.0.18.20200308
 
 CATEGORIES =   cad
 
 GH_ACCOUNT =   abk-openroad
 GH_PROJECT =   OpenSTA
-GH_COMMIT =ed3ad4fb3012feb53328a80df6ad01efd477f891
+GH_COMMIT =d615f62fe412b0f12166e6d5559eacec43713e02
 
-MAINTAINER =   Alessandro De Laurenzis 
+MAINTAINER =   Alessandro De Laurenzis 
 
 # GPLv3
 PERMIT_PACKAGE =   Yes
@@ -37,15 +36,18 @@ BUILD_DEPENDS = devel/bison \
 CONFIGURE_ARGS = -DTCL_HEADER=${MODTCL_INCDIR}/tcl.h \
 -DCUDD=${LOCALBASE}
 
-NO_TEST =  Yes
-
 pre-configure:
cd ${WRKSRC}/etc && ${MODTCL_TCLSH_ADJ} TclEncode.tcl SwigCleanup.tcl
+   cd ${WRKSRC}/test && ${MODTCL_TCLSH_ADJ} regression
 
 post-install:
${INSTALL_DATA_DIR} ${PREFIX}/share/doc/opensta
${INSTALL_DATA} ${WRKSRC}/doc/OpenSTA.pdf ${PREFIX}/share/doc/opensta
${INSTALL_DATA} ${WRKSRC}/doc/ChangeLog.txt ${PREFIX}/share/doc/opensta
${INSTALL_DATA} ${WRKSRC}/doc/StaApi.txt ${PREFIX}/share/doc/opensta
+   ${INSTALL_DATA} ${WRKSRC}/doc/ApiChanges.txt ${PREFIX}/share/doc/opensta
+
+do-test:
+   cd ${WRKDIST} && test/regression all
 
 .include 
Index: distinfo
===
RCS file: /cvs/ports/cad/opensta/distinfo,v
retrieving revision 1.2
diff -u -p -u -p -r1.2 distinfo
--- distinfo30 Mar 2019 11:50:06 -  1.2
+++ distinfo8 Mar 2020 16:56:24 -
@@ -1,2 +1,2 @@
-SHA256 (opensta-2.0.12.20190329-ed3ad4fb.tar.gz) = 
fRJ9YJuTPng7bgIxD1aODNL63xOiR9FIbmI4PMcdi8w=
-SIZE (opensta-2.0.12.20190329-ed3ad4fb.tar.gz) = 911049
+SHA256 (opensta-2.0.18.20200308-d615f62f.tar.gz) = 
+039qKdPjmM4LqUv5qbo9L3akiS9f98gzulJgXyNJT4=
+SIZE (opensta-2.0.18.20200308-d615f62f.tar.gz) = 4859083
Index: pkg/PLIST
===
RCS file: /cvs/ports/cad/opensta/pkg/PLIST,v
retrieving revision 1.2
diff -u -p -u -p -r1.2 PLIST
--- pkg/PLIST   30 Mar 2019 11:50:06 -  1.2
+++ pkg/PLIST   8 Mar 2020 16:56:24 -
@@ -35,6 +35,7 @@ include/DelayNormal2.hh
 include/DeratingFactors.hh
 include/DisabledPorts.hh
 include/DisallowCopyAssign.hh
+include/DispatchQueue.hh
 include/DmpCeff.hh
 include/DmpDelayCalc.hh
 include/EnumNameMap.hh
@@ -64,6 +65,7 @@ include/Levelize.hh
 include/Liberty.hh
 include/LibertyBuilder.hh
 include/LibertyClass.hh
+include/LibertyExpr.hh
 include/LibertyParser.hh
 include/LibertyReader.hh
 include/LibertyReaderPvt.hh
@@ -81,7 +83,8 @@ include/Network.hh
 include/NetworkClass.hh
 include/NetworkCmp.hh
 include/NullParasitics.hh
-include/ObjectIndex.hh
+include/ObjectId.hh
+include/ObjectTable.hh
 include/Parasitics.hh
 include/ParasiticsClass.hh
 include/ParseBus.hh
@@ -97,7 +100,6 @@ include/PathVertex.hh
 include/PathVertexRep.hh
 include/PatternMatch.hh
 include/PinPair.hh
-include/Pool.hh
 include/PortDelay.hh
 include/PortDirection.hh
 include/PortExtCap.hh
@@ -139,7 +141,6 @@ include/StringUtil.hh
 inc

[UPDATE] cad/magic 8.1.224 -> 8.2.190

2020-03-07 Thread Alessandro De Laurenzis

Greetings,

The attached diff updates cad/magic to a very recent release.

Please note that this is a big jump, since we're moving from 8.1 
(distribution) branch to 8.2 (development).


The point has been already discussed on this list (see e.g. [1]); first 
of all, let me say that the number of new features and fixes from 
upstream is so high that I strongly suggest to treat this update as a 
new port (and so double check and test it as such).


The main reason why I've been reluctant so far to jump to this branch is 
that I never succeeded in making the Cairo graphics interface working on 
OpenBSD (this, along with the "stable" OpenGL rendering, is one of the 
most relevant addition w.r.t. 8.1.x).


In order to ease the port's test process for non-habitual magic's users 
I've prepared a simple layout (see inv.mag attachment); you could also 
use the tutorial files, but most of them are pretty counter-intuitive 
when you do not follow the various sections.


So, the normal ("x11") rendering can be seen launching the command:

$ magic inv

For OpenGL rendering, which is much much better:

$ magic -d OGL inv

(do you see the difference? :-) ); Cairo graphics should be fired by:

$ magic -d XR inv

or:

$ magic -d CAIRO inv

but on my Thinkpad T430 with an Intel HD Graphics 4000 I only see a 
black window...


On the other hand, I think that the Cairo library is playing its role 
correctly when OGL is selected, since without adding the 
"--enable-cairo-offscreen" configure option the toolbar buttons are 
blank or contain random trash bits in this mode.


Any hints on this point are welcome; I'm of course open to experiments!

Please also note that this release contains the fix suggested by cwen@ 
(see [2]) for un-breaking the port on gcc-based archs.

Charlene, could you please double-check and confirm that all is ok?


What's new in the port
==
- updated maintainer email address;
- we need to add "--with-distdir=${PREFIX}" to CONFIGURE_ARGS (due to a 
  recent upstream change related to distributed install);

- man pages require a post-install tweak to be moved to the right place;
- I added a patch to magic/proto.magicrc to correctly treat the comment 
  lines (this should be discussed upstream... sorry, I didn't have the 
  time to report it);

- all the others are trivial updates.


Tested on amd64 only.

[1] https://marc.info/?l=openbsd-ports=155255289309963=2
[2] https://github.com/RTimothyEdwards/magic/issues/8

--
Alessandro De Laurenzis
[mailto:jus...@atlantide.mooo.com]
Web: http://www.atlantide.mooo.com
LinkedIn: http://it.linkedin.com/in/delaurenzis
? patches/off-patch-scripts_defs_mak_in
Index: Makefile
===
RCS file: /cvs/ports/cad/magic/Makefile,v
retrieving revision 1.4
diff -u -p -u -p -r1.4 Makefile
--- Makefile12 Jul 2019 20:43:45 -  1.4
+++ Makefile7 Mar 2020 17:24:37 -
@@ -1,17 +1,17 @@
 # $OpenBSD: Makefile,v 1.4 2019/07/12 20:43:45 sthen Exp $
 
 COMMENT =  interactive system for VLSI circuit layouts
-DISTNAME = magic-8.1.224
+DISTNAME = magic-8.2.190
 EXTRACT_SUFX = .tgz
 
 CATEGORIES =   cad
 HOMEPAGE = http://opencircuitdesign.com/magic/
-MAINTAINER =   Alessandro De Laurenzis 
+MAINTAINER =   Alessandro De Laurenzis 
 
 # MIT (Magic, scmos), GPLv2 only (readline)
 PERMIT_PACKAGE =   Yes
 
-WANTLIB =  ${MODTK_WANTLIB} c m GL GLU X11
+WANTLIB =  ${MODTK_WANTLIB} c cairo fontconfig freetype m GL GLU X11
 
 MASTER_SITES = http://opencircuitdesign.com/magic/archive/
 
@@ -22,17 +22,18 @@ COMPILER_LANGS = c
 
 MODULES =  x11/tk
 
-BUILD_DEPENDS =devel/m4
-RUN_DEPENDS =  shells/bash
-LIB_DEPENDS =  ${MODTK_LIB_DEPENDS}
+LIB_DEPENDS =  ${MODTK_LIB_DEPENDS} \
+   graphics/cairo
 
-PATCHORIG =.patch.orig
+RUN_DEPENDS =  shells/bash
 
 WRKCONF =  ${WRKSRC}/scripts
 CONFIGURE_STYLE =  gnu
-CONFIGURE_ARGS +=  --with-tcl=${MODTCL_LIBDIR} \
+CONFIGURE_ARGS +=  --with-distdir=${PREFIX} \
+   --with-tcl=${MODTCL_LIBDIR} \
--with-tk=${MODTK_LIBDIR} \
-   --with-opengl=no
+   --with-cairo=${LOCALBASE}/include \
+   --enable-cairo-offscreen
 
 USE_GMAKE =Yes
 
@@ -43,6 +44,8 @@ post-patch:
@${SUBST_CMD} ${WRKSRC}/utils/paths.h
 
 post-install:
+   mv ${PREFIX}/share/man/* ${PREFIX}/man/
+   rmdir ${PREFIX}/share/man
mv ${PREFIX}/lib/magic/doc ${PREFIX}/share/doc/magic
${INSTALL_DATA_DIR} ${PREFIX}/share/examples/magic
mv ${PREFIX}/lib/magic/tutorial ${PREFIX}/share/examples/magic/
Index: distinfo
===
RCS file: /cvs/ports/cad/magic/distinfo,v
retrieving revision 1.1.1.1
diff -u -p -u -p -r1.1.1.1 distinfo
--- distinfo18 Mar 2019 08:35:35 -  1.1.1.1
+++ disti

Re: [UPDATE] cad/netgen 1.5.118 -> 1.5.137 -> 1.5.138

2020-02-22 Thread Alessandro De Laurenzis

Greetings,

I posted this update proposal last week, so this is a weekly ping.

On top of that, cwen@ submitted a patch upstream (see [1]) to unbreak 
the port for archs using old GNU binutils, which has been accepted and 
already merged in the code.


So I'm attaching an updated diff jumping to the latest release (this is 
the only modification added to those I described earlier).


Charlene, please double-check and let me know.

All the best

[1] https://github.com/RTimothyEdwards/netgen/issues/2

On 15/02/2020 - 18:42, Alessandro De Laurenzis wrote:

Greetings,

The attached diff updates cad/netgen to the latest release.


What's new upstream
===
Plenty of new features and bug fixing, including:
- Expanded the verilog parser to handle most forms of allowable wire 
and   assignment statements in verilog netlists, including assignment 
of   signal bundles;
- Added support in the verilog parser for definitions anywhere in the   
code using the backtick expression;
- A fairly large refactoring of the conditional handling code in the   
verilog parser;
- Added handling of backslash characters in instance names in the JSON   
output;

- added command "netgen::format " to set the output format width.


What's new in the port
==
- updated maintainer email address;
- we need to add "--with-distdir=${PREFIX}" to CONFIGURE_ARGS (due to 
a   recent upstream change related to distributed install);

- all other differences are trivial updates.


Lightly tested on amd64 only.


--
Alessandro De Laurenzis
[mailto:jus...@atlantide.mooo.com]
Web: http://www.atlantide.mooo.com
LinkedIn: http://it.linkedin.com/in/delaurenzis
Index: Makefile
===
RCS file: /cvs/ports/cad/netgen/Makefile,v
retrieving revision 1.5
diff -u -p -u -p -r1.5 Makefile
--- Makefile12 Jul 2019 20:43:45 -  1.5
+++ Makefile22 Feb 2020 07:41:25 -
@@ -1,15 +1,14 @@
 # $OpenBSD: Makefile,v 1.5 2019/07/12 20:43:45 sthen Exp $
 
 COMMENT =  tool for netlist comparison (LVS) and format manipulation
-DISTNAME = netgen-1.5.118
+DISTNAME = netgen-1.5.138
 EXTRACT_SUFX = .tgz
-REVISION = 2
 
 CATEGORIES =   cad
 
 HOMEPAGE = http://opencircuitdesign.com/netgen/
 
-MAINTAINER =   Alessandro De Laurenzis 
+MAINTAINER =   Alessandro De Laurenzis 
 
 # GPLv1
 PERMIT_PACKAGE =   Yes
@@ -32,7 +31,8 @@ WRKCONF = ${WRKSRC}/scripts
 CONFIGURE_STYLE =  gnu
 CONFIGURE_ENV =CPPFLAGS="-I${X11BASE}/include" \
CFLAGS="-std=gnu89 ${CFLAGS}"
-CONFIGURE_ARGS =   --with-tcl=${MODTCL_LIBDIR} \
+CONFIGURE_ARGS =   --with-distdir=${PREFIX} \
+   --with-tcl=${MODTCL_LIBDIR} \
--with-tk=${MODTK_LIBDIR}
 
 USE_GMAKE =Yes
Index: distinfo
===
RCS file: /cvs/ports/cad/netgen/distinfo,v
retrieving revision 1.1.1.1
diff -u -p -u -p -r1.1.1.1 distinfo
--- distinfo20 Mar 2019 10:05:10 -  1.1.1.1
+++ distinfo22 Feb 2020 07:41:25 -
@@ -1,2 +1,2 @@
-SHA256 (netgen-1.5.118.tgz) = 9MgvhDqJwHDbLfNjCEnD0zNUlmTk7kiVhnHFrqjQvYk=
-SIZE (netgen-1.5.118.tgz) = 527097
+SHA256 (netgen-1.5.138.tgz) = ntRrH+USG0pPs/k7+1X7WiNIY8w1z6fG4WsimCPKiHg=
+SIZE (netgen-1.5.138.tgz) = 522205
Index: patches/patch-Makefile
===
RCS file: /cvs/ports/cad/netgen/patches/patch-Makefile,v
retrieving revision 1.1.1.1
diff -u -p -u -p -r1.1.1.1 patch-Makefile
--- patches/patch-Makefile  20 Mar 2019 10:05:10 -  1.1.1.1
+++ patches/patch-Makefile  22 Feb 2020 07:41:25 -
@@ -20,8 +20,8 @@ Index: Makefile
  
 @@ -69,7 +67,7 @@ install-dirs:
  install-tcl: install-dirs
-   @echo --- installing executable to $(DESTDIR)${BINDIR}
-   @echo --- installing run-time files to $(DESTDIR)${LIBDIR}
+   @echo --- installing executable to $(DESTDIR)${INSTALL_BINDIR}
+   @echo --- installing run-time files to $(DESTDIR)${INSTALL_LIBDIR}
 -  @${MAKE} install-tcl-real 2>&1 >> install.log
 +  @${MAKE} install-tcl-real
  
Index: patches/patch-scripts_configure
===
RCS file: /cvs/ports/cad/netgen/patches/patch-scripts_configure,v
retrieving revision 1.1.1.1
diff -u -p -u -p -r1.1.1.1 patch-scripts_configure
--- patches/patch-scripts_configure 20 Mar 2019 10:05:10 -  1.1.1.1
+++ patches/patch-scripts_configure 22 Feb 2020 07:41:25 -
@@ -3,8 +3,8 @@ $OpenBSD: patch-scripts_configure,v 1.1.
 Index: scripts/configure
 --- scripts/configure.orig
 +++ scripts/configure
-@@ -6416,7 +6416,7 @@ fi
-   CFLAGS="${CFLAGS} -l/usr/X11R6/include"
+@@ -6437,7 +6437,7 @@ fi
+   CFLAGS="${CFLAGS} -L/usr/X11R6/include"
;;
  
 -*-netbsd*|*-openbsd*)

[UPDATE] cad/qrouter 1.4.76 -> 1.4.77

2020-02-22 Thread Alessandro De Laurenzis

Greetings,

Following sthen@ suggestion after my recent update proposal (see [1]), I 
worked with upstream to solve the missing function declarations flagged 
during compile; all diffs have been merged, so I think it's worth 
aligning the port to the latest release.


Diff attached.

All the best

[1] https://marc.info/?l=openbsd-ports=158177942206759=2

--
Alessandro De Laurenzis
[mailto:jus...@atlantide.mooo.com]
Web: http://www.atlantide.mooo.com
LinkedIn: http://it.linkedin.com/in/delaurenzis
Index: Makefile
===
RCS file: /cvs/ports/cad/qrouter/Makefile,v
retrieving revision 1.7
diff -u -p -u -p -r1.7 Makefile
--- Makefile15 Feb 2020 17:24:51 -  1.7
+++ Makefile22 Feb 2020 07:58:18 -
@@ -1,7 +1,7 @@
 # $OpenBSD: Makefile,v 1.7 2020/02/15 17:24:51 sthen Exp $
 
 COMMENT =  multi-level, over-the-cell maze router for VLSI design
-DISTNAME = qrouter-1.4.76
+DISTNAME = qrouter-1.4.77
 EXTRACT_SUFX = .tgz
 CATEGORIES =   cad
 
Index: distinfo
===
RCS file: /cvs/ports/cad/qrouter/distinfo,v
retrieving revision 1.5
diff -u -p -u -p -r1.5 distinfo
--- distinfo15 Feb 2020 17:24:51 -  1.5
+++ distinfo22 Feb 2020 07:58:18 -
@@ -1,2 +1,2 @@
-SHA256 (qrouter-1.4.76.tgz) = KOCxnpXxuulPTW3DXIz9sJrkkZ0y7bBuYnVby7Klyqg=
-SIZE (qrouter-1.4.76.tgz) = 326888
+SHA256 (qrouter-1.4.77.tgz) = pGCkoXUB+M0QnrM1T6Zt3Y7glPwHh8J7n/YopansiCY=
+SIZE (qrouter-1.4.77.tgz) = 326953


[UPDATE] cad/netgen 1.5.118 -> 1.5.137

2020-02-15 Thread Alessandro De Laurenzis

Greetings,

The attached diff updates cad/netgen to the latest release.


What's new upstream
===
Plenty of new features and bug fixing, including:
- Expanded the verilog parser to handle most forms of allowable wire and 
  assignment statements in verilog netlists, including assignment of 
  signal bundles;
- Added support in the verilog parser for definitions anywhere in the 
  code using the backtick expression;
- A fairly large refactoring of the conditional handling code in the 
  verilog parser;
- Added handling of backslash characters in instance names in the JSON 
  output;

- added command "netgen::format " to set the output format width.


What's new in the port
==
- updated maintainer email address;
- we need to add "--with-distdir=${PREFIX}" to CONFIGURE_ARGS (due to a 
  recent upstream change related to distributed install);

- all other differences are trivial updates.


Lightly tested on amd64 only.

--
Alessandro De Laurenzis
[mailto:jus...@atlantide.mooo.com]
Web: http://www.atlantide.mooo.com
LinkedIn: http://it.linkedin.com/in/delaurenzis
Index: Makefile
===
RCS file: /cvs/ports/cad/netgen/Makefile,v
retrieving revision 1.5
diff -u -p -u -p -r1.5 Makefile
--- Makefile12 Jul 2019 20:43:45 -  1.5
+++ Makefile15 Feb 2020 17:33:08 -
@@ -1,15 +1,14 @@
 # $OpenBSD: Makefile,v 1.5 2019/07/12 20:43:45 sthen Exp $
 
 COMMENT =  tool for netlist comparison (LVS) and format manipulation
-DISTNAME = netgen-1.5.118
+DISTNAME = netgen-1.5.137
 EXTRACT_SUFX = .tgz
-REVISION = 2
 
 CATEGORIES =   cad
 
 HOMEPAGE = http://opencircuitdesign.com/netgen/
 
-MAINTAINER =   Alessandro De Laurenzis 
+MAINTAINER =   Alessandro De Laurenzis 
 
 # GPLv1
 PERMIT_PACKAGE =   Yes
@@ -32,7 +31,8 @@ WRKCONF = ${WRKSRC}/scripts
 CONFIGURE_STYLE =  gnu
 CONFIGURE_ENV =CPPFLAGS="-I${X11BASE}/include" \
CFLAGS="-std=gnu89 ${CFLAGS}"
-CONFIGURE_ARGS =   --with-tcl=${MODTCL_LIBDIR} \
+CONFIGURE_ARGS =   --with-distdir=${PREFIX} \
+   --with-tcl=${MODTCL_LIBDIR} \
--with-tk=${MODTK_LIBDIR}
 
 USE_GMAKE =Yes
Index: distinfo
===
RCS file: /cvs/ports/cad/netgen/distinfo,v
retrieving revision 1.1.1.1
diff -u -p -u -p -r1.1.1.1 distinfo
--- distinfo20 Mar 2019 10:05:10 -  1.1.1.1
+++ distinfo15 Feb 2020 17:33:08 -
@@ -1,2 +1,2 @@
-SHA256 (netgen-1.5.118.tgz) = 9MgvhDqJwHDbLfNjCEnD0zNUlmTk7kiVhnHFrqjQvYk=
-SIZE (netgen-1.5.118.tgz) = 527097
+SHA256 (netgen-1.5.137.tgz) = bvhn8/Cy+voN4WkzJKVZ25BerRHqFxgqjdYpxt7Pbls=
+SIZE (netgen-1.5.137.tgz) = 522181
Index: patches/patch-Makefile
===
RCS file: /cvs/ports/cad/netgen/patches/patch-Makefile,v
retrieving revision 1.1.1.1
diff -u -p -u -p -r1.1.1.1 patch-Makefile
--- patches/patch-Makefile  20 Mar 2019 10:05:10 -  1.1.1.1
+++ patches/patch-Makefile  15 Feb 2020 17:33:08 -
@@ -20,8 +20,8 @@ Index: Makefile
  
 @@ -69,7 +67,7 @@ install-dirs:
  install-tcl: install-dirs
-   @echo --- installing executable to $(DESTDIR)${BINDIR}
-   @echo --- installing run-time files to $(DESTDIR)${LIBDIR}
+   @echo --- installing executable to $(DESTDIR)${INSTALL_BINDIR}
+   @echo --- installing run-time files to $(DESTDIR)${INSTALL_LIBDIR}
 -  @${MAKE} install-tcl-real 2>&1 >> install.log
 +  @${MAKE} install-tcl-real
  
Index: patches/patch-scripts_configure
===
RCS file: /cvs/ports/cad/netgen/patches/patch-scripts_configure,v
retrieving revision 1.1.1.1
diff -u -p -u -p -r1.1.1.1 patch-scripts_configure
--- patches/patch-scripts_configure 20 Mar 2019 10:05:10 -  1.1.1.1
+++ patches/patch-scripts_configure 15 Feb 2020 17:33:08 -
@@ -3,8 +3,8 @@ $OpenBSD: patch-scripts_configure,v 1.1.
 Index: scripts/configure
 --- scripts/configure.orig
 +++ scripts/configure
-@@ -6416,7 +6416,7 @@ fi
-   CFLAGS="${CFLAGS} -l/usr/X11R6/include"
+@@ -6437,7 +6437,7 @@ fi
+   CFLAGS="${CFLAGS} -L/usr/X11R6/include"
;;
  
 -*-netbsd*|*-openbsd*)
@@ -12,7 +12,7 @@ Index: scripts/configure
# Not available on all versions:  check for include file.
ac_fn_c_check_header_mongrel "$LINENO" "dlfcn.h" "ac_cv_header_dlfcn_h" 
"$ac_includes_default"
  if test "x$ac_cv_header_dlfcn_h" = xyes; then :
-@@ -6432,6 +6432,11 @@ fi
+@@ -6453,6 +6453,11 @@ fi
fi
;;
  
@@ -24,7 +24,7 @@ Index: scripts/configure
  *-nextstep*)
LDDL_FLAGS="-nostdlib -r"
;;
-@@ -7791,16 +7796,5 @@ else
+@@ -7813,16 +7818,5 @@ else
 

Re: [UPDATE] cad/qrouter 1.4.74 -> 1.4.76

2020-02-15 Thread Alessandro De Laurenzis

Hello Stuart,

Thanks for looking into this.

On 15/02/2020 - 15:09, Stuart Henderson wrote:
[...]

- Since we're already accepting that this code isn't C99 compliant, I
propose to clean-up a bit more the build log, adding
-Wno-implicit-function-declaration to CFLAG.


It's not just that it isn't C99 compliant, the missing declarations mean
that the wrong types are used for some of the calls. This can result in
some problems like pointers being truncated to 32 bits, it wouldn't
surprise me if some of these might result in crashes on 64-bit arches.
So I'd at least like to keep the warnings so it's easier for anyone
tracking down bugs, if not actually fix (maybe just some of) them.

Some already have declarations in headers and it just looks like the
#includes are missing (tclqrouter.c uses functions defined in output.h,
antenna.c uses some defined in mask.h and maze.h).

Others are only declared in the .c files where the function is defined
so declarations for those would need copying to a suitable header and
#including where necessary (or just copying declarations to the c files
where they're used, though headers are usually better).



So please find attached a diff with -Wno-implicit-function-declaration 
removed.


Maybe it isn't a big effort to clean-up the code from those warnings, 
I'll have a look and submit a PR upstream if I'm able to reach a 
satisfying picture (but this will take time...)


All the best

--
Alessandro De Laurenzis
[mailto:jus...@atlantide.mooo.com]
Web: http://www.atlantide.mooo.com
LinkedIn: http://it.linkedin.com/in/delaurenzis
Index: Makefile
===
RCS file: /cvs/ports/cad/qrouter/Makefile,v
retrieving revision 1.6
diff -u -p -u -p -r1.6 Makefile
--- Makefile8 Feb 2020 10:26:32 -   1.6
+++ Makefile15 Feb 2020 16:56:14 -
@@ -1,8 +1,7 @@
 # $OpenBSD: Makefile,v 1.6 2020/02/08 10:26:32 cwen Exp $
 
 COMMENT =  multi-level, over-the-cell maze router for VLSI design
-DISTNAME = qrouter-1.4.74
-REVISION = 0
+DISTNAME = qrouter-1.4.76
 EXTRACT_SUFX = .tgz
 CATEGORIES =   cad
 
Index: distinfo
===
RCS file: /cvs/ports/cad/qrouter/distinfo,v
retrieving revision 1.4
diff -u -p -u -p -r1.4 distinfo
--- distinfo3 Feb 2020 22:21:57 -   1.4
+++ distinfo15 Feb 2020 16:56:14 -
@@ -1,2 +1,2 @@
-SHA256 (qrouter-1.4.74.tgz) = zRGHPREv9HcAMKZGtyfwHZWFUj+0csDabcq+OV9gnPQ=
-SIZE (qrouter-1.4.74.tgz) = 326751
+SHA256 (qrouter-1.4.76.tgz) = KOCxnpXxuulPTW3DXIz9sJrkkZ0y7bBuYnVby7Klyqg=
+SIZE (qrouter-1.4.76.tgz) = 326888
Index: patches/patch-Makefile_in
===
RCS file: /cvs/ports/cad/qrouter/patches/patch-Makefile_in,v
retrieving revision 1.2
diff -u -p -u -p -r1.2 patch-Makefile_in
--- patches/patch-Makefile_in   3 Feb 2020 22:21:57 -   1.2
+++ patches/patch-Makefile_in   15 Feb 2020 16:56:14 -
@@ -3,7 +3,7 @@ $OpenBSD: patch-Makefile_in,v 1.2 2020/0
 Index: Makefile.in
 --- Makefile.in.orig
 +++ Makefile.in
-@@ -97,7 +97,8 @@ qrouternullg$(EXEEXT): $(OBJECTS4)
+@@ -98,7 +98,8 @@ qrouternullg$(EXEEXT): $(OBJECTS4)
$(RM) qrouternullg$(EXEEXT)
$(CC) ${CFLAGS} ${CPPFLAGS} ${DEFS} ${EXTRA_DEFS} \
${SOURCES4} ${INC_SPECS} -o $@  ${LIB_SPECS_NOSTUB} \
Index: patches/patch-configure_in
===
RCS file: patches/patch-configure_in
diff -N patches/patch-configure_in
--- patches/patch-configure_in  3 Feb 2020 22:21:57 -   1.2
+++ /dev/null   1 Jan 1970 00:00:00 -
@@ -1,35 +0,0 @@
-$OpenBSD: patch-configure_in,v 1.2 2020/02/03 22:21:57 sthen Exp $
-
-Index: configure.in
 configure.in.orig
-+++ configure.in
-@@ -861,7 +861,13 @@ if test $usingTcl ; then
-   CFLAGS="${CFLAGS} -L/usr/X11R6/include"
-   ;;
- 
--*-netbsd*|*-openbsd*)
-+*-openbsd*)
-+  SHLIB_CFLAGS="-fpic"
-+  LDDL_FLAGS="-shared ${LIB_SPECS}"
-+  CFLAGS="${CFLAGS} -I${X11BASE}/include"
-+  ;;
-+
-+*-netbsd*)
-   # Not available on all versions:  check for include file.
-   AC_CHECK_HEADER(dlfcn.h, test_ok=yes, test_ok=no)
-   if test "$test_ok" = yes; then
-@@ -885,7 +891,13 @@ if test $usingTcl ; then
- esac
-   fi
-   if test "$with_gnu_ld" = "yes" ; then
--LDDL_FLAGS="${LDDL_FLAGS} -Wl,--version-script=symbol.map"
-+case $target in
-+  *-openbsd*)
-+;;
-+  *)
-+LDDL_FLAGS="${LDDL_FLAGS} -Wl,--version-script=symbol.map"
-+;;
-+esac
-   fi
- 
-   AC_SUBST(SHDLIB_EXT)
Index: patches/patch-qrouter_h
===
RCS file: patches/patch-qrouter_h
diff -N patches/patch-qrouter_h
--- patches/patch-qrouter_h 8 Feb 2020 10:26:32 -   1.3
+++ /dev/

[UPDATE] cad/qrouter 1.4.74 -> 1.4.76

2020-02-15 Thread Alessandro De Laurenzis

Greetings,

The attached diff updates cad/qrouter to the latest release.

What's new upstream
===
After the recent thread about unbreaking this port for non-CLANG archs 
(see [1]), I made a PR on github proposing (among other things) the 
needed patch and it has been accepted; this is the only difference 
w.r.t. the previous version (no functional modifications to the code).


What's new in the port
==
- Dropped patch-configure_in and patch-qrouter_h;
- Since we're already accepting that this code isn't C99 compliant, I 
  propose to clean-up a bit more the build log, adding 
  -Wno-implicit-function-declaration to CFLAG.


Tested on amd64 only; before committing, I would prefer to have the 
confirmation from cwen@ (in cc) that code now compiles with base-gcc.


All the best

[1] https://marc.info/?l=openbsd-ports=158106657929871=2

--
Alessandro De Laurenzis
[mailto:jus...@atlantide.mooo.com]
Web: http://www.atlantide.mooo.com
LinkedIn: http://it.linkedin.com/in/delaurenzis
Index: Makefile
===
RCS file: /cvs/ports/cad/qrouter/Makefile,v
retrieving revision 1.6
diff -u -p -u -p -r1.6 Makefile
--- Makefile8 Feb 2020 10:26:32 -   1.6
+++ Makefile15 Feb 2020 08:24:45 -
@@ -1,8 +1,7 @@
 # $OpenBSD: Makefile,v 1.6 2020/02/08 10:26:32 cwen Exp $
 
 COMMENT =  multi-level, over-the-cell maze router for VLSI design
-DISTNAME = qrouter-1.4.74
-REVISION = 0
+DISTNAME = qrouter-1.4.76
 EXTRACT_SUFX = .tgz
 CATEGORIES =   cad
 
@@ -29,7 +28,7 @@ CONFIGURE_ARGS += --with-distdir=${PREFI
--with-tk=${MODTK_LIBDIR}
 
 # Not C99
-CFLAGS +=  -Wno-return-type
+CFLAGS +=  -Wno-return-type -Wno-implicit-function-declaration
 
 NO_TEST =  Yes
 
Index: distinfo
===
RCS file: /cvs/ports/cad/qrouter/distinfo,v
retrieving revision 1.4
diff -u -p -u -p -r1.4 distinfo
--- distinfo3 Feb 2020 22:21:57 -   1.4
+++ distinfo15 Feb 2020 08:24:45 -
@@ -1,2 +1,2 @@
-SHA256 (qrouter-1.4.74.tgz) = zRGHPREv9HcAMKZGtyfwHZWFUj+0csDabcq+OV9gnPQ=
-SIZE (qrouter-1.4.74.tgz) = 326751
+SHA256 (qrouter-1.4.76.tgz) = KOCxnpXxuulPTW3DXIz9sJrkkZ0y7bBuYnVby7Klyqg=
+SIZE (qrouter-1.4.76.tgz) = 326888
Index: patches/patch-Makefile_in
===
RCS file: /cvs/ports/cad/qrouter/patches/patch-Makefile_in,v
retrieving revision 1.2
diff -u -p -u -p -r1.2 patch-Makefile_in
--- patches/patch-Makefile_in   3 Feb 2020 22:21:57 -   1.2
+++ patches/patch-Makefile_in   15 Feb 2020 08:24:45 -
@@ -3,7 +3,7 @@ $OpenBSD: patch-Makefile_in,v 1.2 2020/0
 Index: Makefile.in
 --- Makefile.in.orig
 +++ Makefile.in
-@@ -97,7 +97,8 @@ qrouternullg$(EXEEXT): $(OBJECTS4)
+@@ -98,7 +98,8 @@ qrouternullg$(EXEEXT): $(OBJECTS4)
$(RM) qrouternullg$(EXEEXT)
$(CC) ${CFLAGS} ${CPPFLAGS} ${DEFS} ${EXTRA_DEFS} \
${SOURCES4} ${INC_SPECS} -o $@  ${LIB_SPECS_NOSTUB} \
Index: patches/patch-configure_in
===
RCS file: patches/patch-configure_in
diff -N patches/patch-configure_in
--- patches/patch-configure_in  3 Feb 2020 22:21:57 -   1.2
+++ /dev/null   1 Jan 1970 00:00:00 -
@@ -1,35 +0,0 @@
-$OpenBSD: patch-configure_in,v 1.2 2020/02/03 22:21:57 sthen Exp $
-
-Index: configure.in
 configure.in.orig
-+++ configure.in
-@@ -861,7 +861,13 @@ if test $usingTcl ; then
-   CFLAGS="${CFLAGS} -L/usr/X11R6/include"
-   ;;
- 
--*-netbsd*|*-openbsd*)
-+*-openbsd*)
-+  SHLIB_CFLAGS="-fpic"
-+  LDDL_FLAGS="-shared ${LIB_SPECS}"
-+  CFLAGS="${CFLAGS} -I${X11BASE}/include"
-+  ;;
-+
-+*-netbsd*)
-   # Not available on all versions:  check for include file.
-   AC_CHECK_HEADER(dlfcn.h, test_ok=yes, test_ok=no)
-   if test "$test_ok" = yes; then
-@@ -885,7 +891,13 @@ if test $usingTcl ; then
- esac
-   fi
-   if test "$with_gnu_ld" = "yes" ; then
--LDDL_FLAGS="${LDDL_FLAGS} -Wl,--version-script=symbol.map"
-+case $target in
-+  *-openbsd*)
-+;;
-+  *)
-+LDDL_FLAGS="${LDDL_FLAGS} -Wl,--version-script=symbol.map"
-+;;
-+esac
-   fi
- 
-   AC_SUBST(SHDLIB_EXT)
Index: patches/patch-qrouter_h
===
RCS file: patches/patch-qrouter_h
diff -N patches/patch-qrouter_h
--- patches/patch-qrouter_h 8 Feb 2020 10:26:32 -   1.3
+++ /dev/null   1 Jan 1970 00:00:00 -
@@ -1,23 +0,0 @@
-$OpenBSD: patch-qrouter_h,v 1.3 2020/02/08 10:26:32 cwen Exp $
-
-Index: qrouter.h
 qrouter.h.orig
-+++ qrouter.h
-@@ -40,7 +40,7 @@ typedef unsigned long  u_long;
- #endif /* _SYS_TYPES_H */
- 
- /* Compare functions aren't defined in the Mac's st

[UPDATE] cad/qrouter 1.4.50 -> 1.4.74

2020-02-02 Thread Alessandro De Laurenzis

Greetings,

The attached diff updates cad/qrouter to the latest release.


What's new upstream
===
Plenty of new features and bug fixing, including:
- corrected the handling of pre-routed SPECIALDEFS in the DEF file;
- enhancements to the handling of track offsets when using 1-of-N track
  pitch;
- added argument to the standard_route script for "nocleanup";
- added extensions to qrouter to handle 90 degree rotations in DEF 
  components;
- enhanced diagnostics of routability at any specific grid position in 
  the design;
- made some optimizations that help with pins that are catecorner to 
  obstructions.



What's new in the port
==
- updated maintainer email address;
- autoconf required version is now 2.69;
- we need to add "--with-distdir=${PREFIX}" to CONFIGURE_ARGS (due to a 
  recent upstream change related to distributed install) -- PLEASE 
  REVIEW THIS POINT CAREFULLY;
- dropped all patches used to add "#include " (no more needed 
  since when we jumped from 1.3.X to 1.4.Y; I noticed that only 
  recently, my bad);

- all other differences are trivial updates.

Lightly tested on amd64 only.

All the best

-- Alessandro De Laurenzis
[mailto:jus...@atlantide.mooo.com]
Web: http://www.atlantide.mooo.com
LinkedIn: http://it.linkedin.com/in/delaurenzis
Index: Makefile
===
RCS file: /cvs/ports/cad/qrouter/Makefile,v
retrieving revision 1.4
diff -u -p -u -p -r1.4 Makefile
--- Makefile12 Jul 2019 20:43:45 -  1.4
+++ Makefile2 Feb 2020 14:09:01 -
@@ -1,12 +1,12 @@
 # $OpenBSD: Makefile,v 1.4 2019/07/12 20:43:45 sthen Exp $
 
 COMMENT =  multi-level, over-the-cell maze router for VLSI design
-DISTNAME = qrouter-1.4.50
+DISTNAME = qrouter-1.4.74
 EXTRACT_SUFX = .tgz
 CATEGORIES =   cad
 
 HOMEPAGE = http://opencircuitdesign.com/qrouter/
-MAINTAINER =   Alessandro De Laurenzis 
+MAINTAINER =   Alessandro De Laurenzis 
 
 # GPLv2 only
 PERMIT_PACKAGE =   Yes
@@ -22,8 +22,9 @@ LIB_DEPENDS = ${MODTK_LIB_DEPENDS}
 USE_GMAKE =Yes
 
 CONFIGURE_STYLE =  autoconf no-autoheader
-AUTOCONF_VERSION = 2.60
-CONFIGURE_ARGS +=  --with-tcl=${MODTCL_LIBDIR} \
+AUTOCONF_VERSION = 2.69
+CONFIGURE_ARGS +=  --with-distdir=${PREFIX} \
+   --with-tcl=${MODTCL_LIBDIR} \
--with-tk=${MODTK_LIBDIR}
 
 # Not C99
Index: distinfo
===
RCS file: /cvs/ports/cad/qrouter/distinfo,v
retrieving revision 1.3
diff -u -p -u -p -r1.3 distinfo
--- distinfo18 Apr 2019 05:50:55 -  1.3
+++ distinfo2 Feb 2020 14:09:01 -
@@ -1,2 +1,2 @@
-SHA256 (qrouter-1.4.50.tgz) = IeaHgitIXWB200tTXZWDxBoJnHdND+Z8Ty7tnFnCvkU=
-SIZE (qrouter-1.4.50.tgz) = 332280
+SHA256 (qrouter-1.4.74.tgz) = zRGHPREv9HcAMKZGtyfwHZWFUj+0csDabcq+OV9gnPQ=
+SIZE (qrouter-1.4.74.tgz) = 326751
Index: patches/patch-Makefile_in
===
RCS file: /cvs/ports/cad/qrouter/patches/patch-Makefile_in,v
retrieving revision 1.1.1.1
diff -u -p -u -p -r1.1.1.1 patch-Makefile_in
--- patches/patch-Makefile_in   28 Aug 2018 15:09:08 -  1.1.1.1
+++ patches/patch-Makefile_in   2 Feb 2020 14:09:01 -
@@ -3,7 +3,7 @@ $OpenBSD: patch-Makefile_in,v 1.1.1.1 20
 Index: Makefile.in
 --- Makefile.in.orig
 +++ Makefile.in
-@@ -94,7 +94,8 @@ qrouternullg$(EXEEXT): $(OBJECTS4)
+@@ -97,7 +97,8 @@ qrouternullg$(EXEEXT): $(OBJECTS4)
$(RM) qrouternullg$(EXEEXT)
$(CC) ${CFLAGS} ${CPPFLAGS} ${DEFS} ${EXTRA_DEFS} \
${SOURCES4} ${INC_SPECS} -o $@  ${LIB_SPECS_NOSTUB} \
Index: patches/patch-configure_in
===
RCS file: /cvs/ports/cad/qrouter/patches/patch-configure_in,v
retrieving revision 1.1.1.1
diff -u -p -u -p -r1.1.1.1 patch-configure_in
--- patches/patch-configure_in  28 Aug 2018 15:09:08 -  1.1.1.1
+++ patches/patch-configure_in  2 Feb 2020 14:09:01 -
@@ -3,8 +3,8 @@ $OpenBSD: patch-configure_in,v 1.1.1.1 2
 Index: configure.in
 --- configure.in.orig
 +++ configure.in
-@@ -847,7 +847,13 @@ if test $usingTcl ; then
-   CFLAGS="${CFLAGS} -l/usr/X11R6/include"
+@@ -861,7 +861,13 @@ if test $usingTcl ; then
+   CFLAGS="${CFLAGS} -L/usr/X11R6/include"
;;
  
 -*-netbsd*|*-openbsd*)
@@ -18,7 +18,7 @@ Index: configure.in
# Not available on all versions:  check for include file.
AC_CHECK_HEADER(dlfcn.h, test_ok=yes, test_ok=no)
if test "$test_ok" = yes; then
-@@ -871,7 +877,13 @@ if test $usingTcl ; then
+@@ -885,7 +891,13 @@ if test $usingTcl ; then
  esac
fi
if test "$with_gnu_ld" = "yes" ; then
Index: patches/patch-maze_c
===
RCS file: patches/patch-maze_c
diff -N

[UPDATE] graphics/ipe 7.2.12 -> 7.2.13

2020-01-18 Thread Alessandro De Laurenzis

Dear ports@ readers,

The attached diff (gzip-ed in order to avoid issues with MSDOS line 
endings in emailing) updates graphics/ipe to the latest release.


What's new upstream
===
(extracted from news.txt):

 * IpePresenter now available on MacOS.

 * Allow online Latex-compilation using "latexonline.cc" service.
   Ipe is now fully usable without a Latex installation on your
   computer. 
 
 * Views can now be named, and view names can be used instead of

   numbers in iperender and ipetoipe (feature #136).

 * Add directory containing Ipe document to TEXINPUTS when running
   Latex (feature #243).

 * Add button to use external editor for Latex preamble (feature
   #261).

 * Handle stroke opacity correctly in properties panel (bug #252).

 * Set Qt size policy for labels to fixed for better resizing
   behaviour (bug #261).

 * Various fixes.


What's new in the port
==
- Updated maintainer's email address;
- bumped shared libraries major number.

Other modifications are trivial updates.


Lightly tested on amd64 only.

All the best

--
Alessandro De Laurenzis
[mailto:jus...@atlantide.mooo.com]
Web: http://www.atlantide.mooo.com
LinkedIn: http://it.linkedin.com/in/delaurenzis


ipe.diff.gz
Description: application/gunzip


[UPDATE] graphics/xdot 1.0p0 -> 1.1

2020-01-18 Thread Alessandro De Laurenzis

Dear ports@ readers,

The attached diff updates graphics/xdot to the latest release.

What's new upstream
===
- Use theme background on DotWidget;
- Faster rendering of large graphs;
- Treat sub-graphs as nodes;
- Support "outputorder" attribute when drawing.

What's new in the port
==
- Updated maintainer's email address;
- ${MODPY_COMMENT} lines updated in PLIST.

Lightly tested on amd64 only.

All the best

--
Alessandro De Laurenzis
[mailto:jus...@atlantide.mooo.com]
Web: http://www.atlantide.mooo.com
LinkedIn: http://it.linkedin.com/in/delaurenzis
Index: Makefile
===
RCS file: /cvs/ports/graphics/xdot/Makefile,v
retrieving revision 1.3
diff -u -p -u -p -r1.3 Makefile
--- Makefile12 Jul 2019 20:47:11 -  1.3
+++ Makefile18 Jan 2020 16:18:37 -
@@ -2,14 +2,13 @@
 
 COMMENT =  interactive viewer for Graphviz dot graphs
 
-MODPY_EGG_VERSION = 1.0
+MODPY_EGG_VERSION = 1.1
 DISTNAME = xdot-${MODPY_EGG_VERSION}
-REVISION = 0
 
 CATEGORIES =   graphics
 
 HOMEPAGE = https://github.com/jrfonseca/xdot.py
-MAINTAINER =   Alessandro De Laurenzis 
+MAINTAINER =   Alessandro De Laurenzis 
 
 # GPLv3
 PERMIT_PACKAGE =   Yes
Index: distinfo
===
RCS file: /cvs/ports/graphics/xdot/distinfo,v
retrieving revision 1.1.1.1
diff -u -p -u -p -r1.1.1.1 distinfo
--- distinfo25 Apr 2019 06:04:43 -  1.1.1.1
+++ distinfo18 Jan 2020 16:18:37 -
@@ -1,2 +1,2 @@
-SHA256 (xdot-1.0.tar.gz) = fgZ4ltcpr4Lx/QdY4mXxKZRNRpww9VDj8V29t1HMQqE=
-SIZE (xdot-1.0.tar.gz) = 26507
+SHA256 (xdot-1.1.tar.gz) = 4VxT2A3Id3QCpyWO6+bL85XQQIX/lpm7/66R3w7MJDM=
+SIZE (xdot-1.1.tar.gz) = 28315
Index: pkg/PLIST
===
RCS file: /cvs/ports/graphics/xdot/pkg/PLIST,v
retrieving revision 1.1.1.1
diff -u -p -u -p -r1.1.1.1 PLIST
--- pkg/PLIST   25 Apr 2019 06:04:43 -  1.1.1.1
+++ pkg/PLIST   18 Jan 2020 16:18:37 -
@@ -9,12 +9,12 @@ lib/python${MODPY_VERSION}/site-packages
 
lib/python${MODPY_VERSION}/site-packages/xdot-${MODPY_EGG_VERSION}-py${MODPY_VERSION}.egg-info/top_level.txt
 lib/python${MODPY_VERSION}/site-packages/xdot/__init__.py
 lib/python${MODPY_VERSION}/site-packages/xdot/__main__.py
-lib/python${MODPY_VERSION}/site-packages/xdot/${MODPY_PYCACHE}/
+${MODPY_COMMENT}lib/python${MODPY_VERSION}/site-packages/xdot/${MODPY_PYCACHE}/
 
lib/python${MODPY_VERSION}/site-packages/xdot/${MODPY_PYCACHE}__init__.${MODPY_PYC_MAGIC_TAG}pyc
 
lib/python${MODPY_VERSION}/site-packages/xdot/${MODPY_PYCACHE}__main__.${MODPY_PYC_MAGIC_TAG}pyc
 lib/python${MODPY_VERSION}/site-packages/xdot/dot/
 lib/python${MODPY_VERSION}/site-packages/xdot/dot/__init__.py
-lib/python${MODPY_VERSION}/site-packages/xdot/dot/${MODPY_PYCACHE}/
+${MODPY_COMMENT}lib/python${MODPY_VERSION}/site-packages/xdot/dot/${MODPY_PYCACHE}/
 
lib/python${MODPY_VERSION}/site-packages/xdot/dot/${MODPY_PYCACHE}__init__.${MODPY_PYC_MAGIC_TAG}pyc
 
lib/python${MODPY_VERSION}/site-packages/xdot/dot/${MODPY_PYCACHE}lexer.${MODPY_PYC_MAGIC_TAG}pyc
 
lib/python${MODPY_VERSION}/site-packages/xdot/dot/${MODPY_PYCACHE}parser.${MODPY_PYC_MAGIC_TAG}pyc
@@ -24,7 +24,7 @@ lib/python${MODPY_VERSION}/site-packages
 lib/python${MODPY_VERSION}/site-packages/xdot/dot/scanner.py
 lib/python${MODPY_VERSION}/site-packages/xdot/ui/
 lib/python${MODPY_VERSION}/site-packages/xdot/ui/__init__.py
-lib/python${MODPY_VERSION}/site-packages/xdot/ui/${MODPY_PYCACHE}/
+${MODPY_COMMENT}lib/python${MODPY_VERSION}/site-packages/xdot/ui/${MODPY_PYCACHE}/
 
lib/python${MODPY_VERSION}/site-packages/xdot/ui/${MODPY_PYCACHE}__init__.${MODPY_PYC_MAGIC_TAG}pyc
 
lib/python${MODPY_VERSION}/site-packages/xdot/ui/${MODPY_PYCACHE}actions.${MODPY_PYC_MAGIC_TAG}pyc
 
lib/python${MODPY_VERSION}/site-packages/xdot/ui/${MODPY_PYCACHE}animation.${MODPY_PYC_MAGIC_TAG}pyc


Re: [UPDATE] cad/abc 1.01.20180722p0 -> abc-1.01.20200108

2020-01-16 Thread Alessandro De Laurenzis

Weekly ping!

Diff re-attached.

On 10/01/2020 - 20:45, Alessandro De Laurenzis wrote:

Dear ports@ readers,

The attached diff updates cad/abc to a very recent commit (still no 
releases/tags from upstream).



What's new upstream
===
Plenty of new features and bug fixing, including:
- Improvements to the retiming algorithm;
- New command 'symfun' to generate truth table of a symmetric function;
- Support for user-specified wire delays in 
- Handling of objects without fanout in %retime;
- New switch -o to 'map' and '' to control gate duplication.


What's new in the port
==

- Updated maintainer email address;

- Updated license string (abc uses an internal copy of "glucose",   
released under the MIT license);


- OpenBSD doesn't support RLIMIT_AS, RLIMIT_DATA being the closest   
match, see e.g. (1); a new patch is needed for this;


- There is a bunch of "-Wunused-variable" warning messages during 
build;   I would prefer to reduce the noise and, even if this isn't a 
best   practice, I switched them off patching the upstream Makefile 
(we   cannot add the -Wno-unused-variable option through a line in 
port's   Makefile like the following:


 CONFIGURE_ARGS = -DCMAKE_C_FLAGS="${CFLAGS} -Wno-unused-variable"

 since it would be overridden by the -Wall explicitly set into the   
CFLAGS variable.



It compiles correctly on amd64 and runs ok for a limited set of 
test-cases.


(1): https://github.com/OSGeo/gdal/issues/1163


--
Alessandro De Laurenzis
[mailto:jus...@atlantide.mooo.com]
Web: http://www.atlantide.mooo.com
LinkedIn: http://it.linkedin.com/in/delaurenzis
Index: Makefile
===
RCS file: /cvs/ports/cad/abc/Makefile,v
retrieving revision 1.3
diff -u -p -u -p -r1.3 Makefile
--- Makefile12 Jul 2019 20:43:44 -  1.3
+++ Makefile10 Jan 2020 18:46:46 -
@@ -1,18 +1,17 @@
 # $OpenBSD: Makefile,v 1.3 2019/07/12 20:43:44 sthen Exp $
 
 COMMENT =  system for sequential logic synthesis and verification
-DISTNAME = abc-1.01.20180722
+DISTNAME = abc-1.01.20200108
 CATEGORIES =   cad
-REVISION = 0
 
 GH_ACCOUNT =   berkeley-abc
 GH_PROJECT =   abc
-GH_COMMIT =ae6716b064c842f45109a88e84dca71fe4cc311f
+GH_COMMIT =144c5be8246800d5bd36dc3e177364063e8d2e40
 
 HOMEPAGE = https://people.eecs.berkeley.edu/~alanmi/abc
-MAINTAINER =   Alessandro De Laurenzis 
+MAINTAINER =   Alessandro De Laurenzis 
 
-# MIT (abc, MiniSat, xSAT), BSD (bzlib, CUDD, satoko), zlib
+# MIT (abc, MiniSat, xSAT, glucose), BSD (bzlib, CUDD, satoko), zlib
 PERMIT_PACKAGE =   Yes
 
 WANTLIB += ${COMPILER_LIBCXX} c curses m readline
Index: distinfo
===
RCS file: /cvs/ports/cad/abc/distinfo,v
retrieving revision 1.1.1.1
diff -u -p -u -p -r1.1.1.1 distinfo
--- distinfo8 Aug 2018 15:24:47 -   1.1.1.1
+++ distinfo10 Jan 2020 18:46:46 -
@@ -1,2 +1,2 @@
-SHA256 (abc-1.01.20180722-ae6716b0.tar.gz) = 
Zc9f2Vfbwzn49O61ozQySYos60qulZemoXThSewWHI4=
-SIZE (abc-1.01.20180722-ae6716b0.tar.gz) = 5653452
+SHA256 (abc-1.01.20200108-144c5be8.tar.gz) = 
2QyTrZSL6mh0KunYoZuXbsX102cRCMEsNsdEPHu//Dc=
+SIZE (abc-1.01.20200108-144c5be8.tar.gz) = 5739231
Index: patches/patch-Makefile
===
RCS file: /cvs/ports/cad/abc/patches/patch-Makefile,v
retrieving revision 1.1.1.1
diff -u -p -u -p -r1.1.1.1 patch-Makefile
--- patches/patch-Makefile  8 Aug 2018 15:24:47 -   1.1.1.1
+++ patches/patch-Makefile  10 Jan 2020 18:46:46 -
@@ -3,6 +3,15 @@ $OpenBSD: patch-Makefile,v 1.1.1.1 2018/
 Index: Makefile
 --- Makefile.orig
 +++ Makefile
+@@ -54,7 +54,7 @@ ARCHFLAGS := $(ARCHFLAGS)
+ 
+ OPTFLAGS  ?= -g -O
+ 
+-CFLAGS+= -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare 
$(ARCHFLAGS)
++CFLAGS+= -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare 
-Wno-unused-variable $(ARCHFLAGS)
+ ifneq ($(findstring arm,$(shell uname -m)),)
+   CFLAGS += -DABC_MEMALIGN=4
+ endif
 @@ -75,6 +75,9 @@ endif
  
  ABC_READLINE_INCLUDES ?=
Index: patches/patch-src_base_main_mainReal_c
===
RCS file: patches/patch-src_base_main_mainReal_c
diff -N patches/patch-src_base_main_mainReal_c
--- /dev/null   1 Jan 1970 00:00:00 -
+++ patches/patch-src_base_main_mainReal_c  10 Jan 2020 18:46:46 -
@@ -0,0 +1,22 @@
+$OpenBSD$
+
+Index: src/base/main/mainReal.c
+--- src/base/main/mainReal.c.orig
 src/base/main/mainReal.c
+@@ -139,7 +139,16 @@ int Abc_RealMain( int argc, char * argv[] )
+ maxMb * (1llu << 20), /* soft limit */  
+ maxMb * (1llu << 20)  /* hard limit */  
+ };  
++#ifndef __OpenBSD__
+ setrlimi

[UPDATE] cad/abc 1.01.20180722p0 -> abc-1.01.20200108

2020-01-10 Thread Alessandro De Laurenzis

Dear ports@ readers,

The attached diff updates cad/abc to a very recent commit (still no 
releases/tags from upstream).



What's new upstream
===
Plenty of new features and bug fixing, including:
- Improvements to the retiming algorithm;
- New command 'symfun' to generate truth table of a symmetric function;
- Support for user-specified wire delays in 
- Handling of objects without fanout in %retime;
- New switch -o to 'map' and '' to control gate duplication.


What's new in the port
==

- Updated maintainer email address;

- Updated license string (abc uses an internal copy of "glucose", 
  released under the MIT license);


- OpenBSD doesn't support RLIMIT_AS, RLIMIT_DATA being the closest 
  match, see e.g. (1); a new patch is needed for this;


- There is a bunch of "-Wunused-variable" warning messages during build; 
  I would prefer to reduce the noise and, even if this isn't a best 
  practice, I switched them off patching the upstream Makefile (we 
  cannot add the -Wno-unused-variable option through a line in port's 
  Makefile like the following:


  CONFIGURE_ARGS = -DCMAKE_C_FLAGS="${CFLAGS} -Wno-unused-variable"

  since it would be overridden by the -Wall explicitly set into the 
  CFLAGS variable.



It compiles correctly on amd64 and runs ok for a limited set of 
test-cases.


(1): https://github.com/OSGeo/gdal/issues/1163

--
Alessandro De Laurenzis
[mailto:jus...@atlantide.mooo.com]
Web: http://www.atlantide.mooo.com
LinkedIn: http://it.linkedin.com/in/delaurenzis
Index: Makefile
===
RCS file: /cvs/ports/cad/abc/Makefile,v
retrieving revision 1.3
diff -u -p -u -p -r1.3 Makefile
--- Makefile12 Jul 2019 20:43:44 -  1.3
+++ Makefile10 Jan 2020 18:46:46 -
@@ -1,18 +1,17 @@
 # $OpenBSD: Makefile,v 1.3 2019/07/12 20:43:44 sthen Exp $
 
 COMMENT =  system for sequential logic synthesis and verification
-DISTNAME = abc-1.01.20180722
+DISTNAME = abc-1.01.20200108
 CATEGORIES =   cad
-REVISION = 0
 
 GH_ACCOUNT =   berkeley-abc
 GH_PROJECT =   abc
-GH_COMMIT =ae6716b064c842f45109a88e84dca71fe4cc311f
+GH_COMMIT =144c5be8246800d5bd36dc3e177364063e8d2e40
 
 HOMEPAGE = https://people.eecs.berkeley.edu/~alanmi/abc
-MAINTAINER =   Alessandro De Laurenzis 
+MAINTAINER =   Alessandro De Laurenzis 
 
-# MIT (abc, MiniSat, xSAT), BSD (bzlib, CUDD, satoko), zlib
+# MIT (abc, MiniSat, xSAT, glucose), BSD (bzlib, CUDD, satoko), zlib
 PERMIT_PACKAGE =   Yes
 
 WANTLIB += ${COMPILER_LIBCXX} c curses m readline
Index: distinfo
===
RCS file: /cvs/ports/cad/abc/distinfo,v
retrieving revision 1.1.1.1
diff -u -p -u -p -r1.1.1.1 distinfo
--- distinfo8 Aug 2018 15:24:47 -   1.1.1.1
+++ distinfo10 Jan 2020 18:46:46 -
@@ -1,2 +1,2 @@
-SHA256 (abc-1.01.20180722-ae6716b0.tar.gz) = 
Zc9f2Vfbwzn49O61ozQySYos60qulZemoXThSewWHI4=
-SIZE (abc-1.01.20180722-ae6716b0.tar.gz) = 5653452
+SHA256 (abc-1.01.20200108-144c5be8.tar.gz) = 
2QyTrZSL6mh0KunYoZuXbsX102cRCMEsNsdEPHu//Dc=
+SIZE (abc-1.01.20200108-144c5be8.tar.gz) = 5739231
Index: patches/patch-Makefile
===
RCS file: /cvs/ports/cad/abc/patches/patch-Makefile,v
retrieving revision 1.1.1.1
diff -u -p -u -p -r1.1.1.1 patch-Makefile
--- patches/patch-Makefile  8 Aug 2018 15:24:47 -   1.1.1.1
+++ patches/patch-Makefile  10 Jan 2020 18:46:46 -
@@ -3,6 +3,15 @@ $OpenBSD: patch-Makefile,v 1.1.1.1 2018/
 Index: Makefile
 --- Makefile.orig
 +++ Makefile
+@@ -54,7 +54,7 @@ ARCHFLAGS := $(ARCHFLAGS)
+ 
+ OPTFLAGS  ?= -g -O
+ 
+-CFLAGS+= -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare 
$(ARCHFLAGS)
++CFLAGS+= -Wall -Wno-unused-function -Wno-write-strings -Wno-sign-compare 
-Wno-unused-variable $(ARCHFLAGS)
+ ifneq ($(findstring arm,$(shell uname -m)),)
+   CFLAGS += -DABC_MEMALIGN=4
+ endif
 @@ -75,6 +75,9 @@ endif
  
  ABC_READLINE_INCLUDES ?=
Index: patches/patch-src_base_main_mainReal_c
===
RCS file: patches/patch-src_base_main_mainReal_c
diff -N patches/patch-src_base_main_mainReal_c
--- /dev/null   1 Jan 1970 00:00:00 -
+++ patches/patch-src_base_main_mainReal_c  10 Jan 2020 18:46:46 -
@@ -0,0 +1,22 @@
+$OpenBSD$
+
+Index: src/base/main/mainReal.c
+--- src/base/main/mainReal.c.orig
 src/base/main/mainReal.c
+@@ -139,7 +139,16 @@ int Abc_RealMain( int argc, char * argv[] )
+ maxMb * (1llu << 20), /* soft limit */  
+ maxMb * (1llu << 20)  /* hard limit */  
+ };  
++#ifndef __OpenBSD__
+ setrlimit(RLIMIT_AS, );   
++#else
++/*
++ * OpenBSD doesn't suppor

Re: [UPDATE] graphics/ipe 7.2.11 -> 7.2.12

2019-07-03 Thread Alessandro De Laurenzis
Ping!

On June 27, 2019 10:06:17 AM GMT+02:00, Alessandro DE LAURENZIS 
 wrote:
>Hello Brian,
>
>On 22/06/2019 23:40, Brian Callahan wrote:
>> 
>> 
>> On 6/22/19 12:51 PM, Alessandro De Laurenzis wrote:
>>> Ping!
>> 
>> This needs library bumps.
>> 
>
>Thanks for your feedback and sorry, I've never fully understood this 
>topic... (any hints to relevant docs would be appreciated).
>
>Please find enclosed a new diff; I hope it addresses the problem.
>
>All the best
>
>-- 
>Alessandro DE LAURENZIS
>[mailto:jus...@atlantide.t28.net]
>Web: http://www.atlantide.t28.net
>LinkedIn: https://www.linkedin.com/in/delaurenzis/

-- 
Inviato dal mio dispositivo Android con K-9 Mail. Perdonate la brevità.


Re: [NEW] x11/gsimplecal

2019-06-27 Thread Alessandro DE LAURENZIS

Hello Stuart,

On 13/06/2019 22:37, Stuart Henderson wrote:
[...]


New tar attached. This one is OK sthen if someone would like to import..

The autoconf setup isn't quite right (and doesn't actually build for
me as-is). I also moved to productivity as the main category as that's
where we usually put calendar-type things (and x11/ is quite busy
already).

There's a startup problem. If the config file (e.g.
~/.config/gsimplecal/config) does not already exist, it calls
Unique::Unique with path==NULL so it falls back to getPathnameFromExe()
that doesn't work on OpenBSD, resulting in the exception below.

$ gsimplecal
terminating with uncaught exception of type UniqueException*
Abort trap (core dumped)
$ egdb `which gsimplecal` gsimplecal.core
GNU gdb (GDB) 7.12.1
.
Core was generated by `gsimplecal'.
Program terminated with signal SIGABRT, Aborted.
#0  thrkill () at -:3
3   -: No such file or directory.
(gdb) bt
#0  thrkill () at -:3
#1  0x07e0994f81be in _libc_abort () at /usr/src/lib/libc/stdlib/abort.c:51
#2  0x07e097acff3c in abort_message (format=) at 
/usr/src/lib/libcxxabi/src/abort_message.cpp:77
#3  0x07e097af1c64 in demangling_terminate_handler () at 
/usr/src/lib/libcxxabi/src/cxa_default_handlers.cpp:70
#4  0x07e097aeaeef in std::__terminate (func=0x0) at 
/usr/src/lib/libcxxabi/src/cxa_handlers.cpp:60
#5  0x07e097acf41c in __cxxabiv1::failed_throw 
(exception_header=0x7e0a7d2d200)
 at /usr/src/lib/libcxxabi/src/cxa_exception.cpp:138
#6  0x07e097acf37b in __cxa_throw (thrown_object=0x7e0a7d2d280, 
tinfo=0x7ddcec1faf0 ,
 dest=) at /usr/src/lib/libcxxabi/src/cxa_exception.cpp:270
#7  0x07ddcec1c410 in Unique::Unique (this=, path=) at Unique.cpp:27
#8  0x07ddcec1ac79 in main (argc=1, argv=0x7f7d5c48) at 
gsimplecal.cpp:75

I've added a patch to work around the problem, comments welcome.



This isn't in the tree yet; I've of course tried your updated tarball 
and all is ok.


--
Alessandro DE LAURENZIS
[mailto:jus...@atlantide.t28.net]
Web: http://www.atlantide.t28.net
LinkedIn: https://www.linkedin.com/in/delaurenzis/



Re: [UPDATE] graphics/ipe 7.2.11 -> 7.2.12

2019-06-27 Thread Alessandro DE LAURENZIS

Hello Brian,

On 22/06/2019 23:40, Brian Callahan wrote:



On 6/22/19 12:51 PM, Alessandro De Laurenzis wrote:

Ping!


This needs library bumps.



Thanks for your feedback and sorry, I've never fully understood this 
topic... (any hints to relevant docs would be appreciated).


Please find enclosed a new diff; I hope it addresses the problem.

All the best

--
Alessandro DE LAURENZIS
[mailto:jus...@atlantide.t28.net]
Web: http://www.atlantide.t28.net
LinkedIn: https://www.linkedin.com/in/delaurenzis/
Index: Makefile
===
RCS file: /cvs/ports/graphics/ipe/Makefile,v
retrieving revision 1.20
diff -u -p -u -p -r1.20 Makefile
--- Makefile	17 May 2019 16:45:27 -	1.20
+++ Makefile	27 Jun 2019 08:03:12 -
@@ -2,14 +2,13 @@
 
 COMMENT =		extensible drawing editor
 
-V =			7.2.11
+V =			7.2.12
 SUBST_VARS +=		V
 DISTNAME =		ipe-${V}-src
 PKGNAME =		ipe-${V}
-REVISION =		0
 
 # Upstream libraries would be installed as libxxx.so.${V}
-SHLIB_VERSION =	0.0
+SHLIB_VERSION =	1.0
 
 SHARED_LIBS +=		ipe		${SHLIB_VERSION}
 SHARED_LIBS +=		ipecairo	${SHLIB_VERSION}
Index: distinfo
===
RCS file: /cvs/ports/graphics/ipe/distinfo,v
retrieving revision 1.4
diff -u -p -u -p -r1.4 distinfo
--- distinfo	30 Apr 2019 06:44:29 -	1.4
+++ distinfo	27 Jun 2019 08:03:12 -
@@ -1,2 +1,2 @@
-SHA256 (ipe-7.2.11-src.tar.gz) = oi3K6ctmD0ZmeKa1aOn+0bEqODDklGVZTWX8eJsLpyU=
-SIZE (ipe-7.2.11-src.tar.gz) = 1950071
+SHA256 (ipe-7.2.12-src.tar.gz) = fJp4sg59CL5YUCmSQLnNK0WYL/EpmpA6mZ2P+HllgeM=
+SIZE (ipe-7.2.12-src.tar.gz) = 1958565
Index: patches/patch-src_ipe_lua_prefs_lua
===
RCS file: /cvs/ports/graphics/ipe/patches/patch-src_ipe_lua_prefs_lua,v
retrieving revision 1.3
diff -u -p -u -p -r1.3 patch-src_ipe_lua_prefs_lua
--- patches/patch-src_ipe_lua_prefs_lua	30 Apr 2019 06:44:29 -	1.3
+++ patches/patch-src_ipe_lua_prefs_lua	27 Jun 2019 08:03:12 -
@@ -5,7 +5,7 @@ Swapped out the default on-screen keyboa
 Index: src/ipe/lua/prefs.lua
 --- src/ipe/lua/prefs.lua.orig
 +++ src/ipe/lua/prefs.lua
-@@ -256,7 +256,7 @@ elseif config.platform == "apple" then
+@@ -290,7 +290,7 @@ elseif config.platform == "apple" then
prefs.keyboard = "open -a KeyboardViewer -n"
  else
-- On Linux, you could use: prefs.keyboard = "onboard &"
Index: patches/patch-src_ipelib_ipeplatform_cpp
===
RCS file: /cvs/ports/graphics/ipe/patches/patch-src_ipelib_ipeplatform_cpp,v
retrieving revision 1.2
diff -u -p -u -p -r1.2 patch-src_ipelib_ipeplatform_cpp
--- patches/patch-src_ipelib_ipeplatform_cpp	30 Apr 2019 06:44:29 -	1.2
+++ patches/patch-src_ipelib_ipeplatform_cpp	27 Jun 2019 08:03:12 -
@@ -23,3 +23,12 @@ Index: src/ipelib/ipeplatform.cpp
  #else
return strtod_l(s.z(), nullptr, ipeLocale);
  #endif
+@@ -633,6 +639,8 @@ int Platform::toNumber(String s, int , double &
+ dValue = _strtod_l(s.z(), , ipeLocale);
+   else
+ dValue = strtod(s.z(), );
++#elif defined(__OpenBSD__)
++  dValue = strtod(s.z(), );
+ #else
+   dValue = strtod_l(s.z(), , ipeLocale);
+ #endif


Re: [UPDATE] graphics/ipe 7.2.11 -> 7.2.12

2019-06-22 Thread Alessandro De Laurenzis
Ping!

Il 13 giugno 2019 08:11:44 CEST, Alessandro DE LAURENZIS 
 ha scritto:
>Dear ports@ readers,
>
>please find enclosed a diff for updating graphics/ipe to the latest
>release.
>
>What's new upstream
>===
>>  * Image objects now support transparency (feature #233).
>> 
>>  * Path objects can now have distinct fill and stroke opacities
>>(feature #134).
>> 
>>  * Symbols can now specify a list of snap positions, which are active
>>in vertex snap mode.  Such symbols can be selected by clicking
>near
>>any snap position, and are presented like groups in the selection
>>(feature #238).
>>  
>>  * Can now specify the initial attribute settings in the preferences
>>(feature #235).
>>  
>>  * Symbols ipelet now presents symbols in groups (feature #237).
>> 
>>  * Added default external editors on Windows and MacOS.
>> 
>>  * Fixed IPELATEXDIR environment variable not working on windows (bug
>>#242).
>> 
>>  * Fix "Waiting for external editor" dialog not closing on MacOS.
>Define
>>default external editors for Windows and MacOS.
>> 
>>  * New "Preferences" item in Help menu with information about
>>"prefs.lua".
>> 
>>  * Lua function tonumber now returns nil when it fails to convert,
>>like the original Lua function (bug #236).
>
>
>What's new in the port
>==
>We have to add a trigger for strtod(3) usage in another part of 
>ipeplatform.cpp
>
>Other modifications are trivial updates.
>
>
>Tested on amd64 only.
>
>-- 
>Alessandro DE LAURENZIS
>[mailto:jus...@atlantide.t28.net]
>Web: http://www.atlantide.t28.net
>LinkedIn: https://www.linkedin.com/in/delaurenzis/

-- 
Inviato dal mio dispositivo Android con K-9 Mail. Perdonate la brevità.


[UPDATE] graphics/ipe 7.2.11 -> 7.2.12

2019-06-13 Thread Alessandro DE LAURENZIS

Dear ports@ readers,

please find enclosed a diff for updating graphics/ipe to the latest release.

What's new upstream
===

 * Image objects now support transparency (feature #233).

 * Path objects can now have distinct fill and stroke opacities
   (feature #134).

 * Symbols can now specify a list of snap positions, which are active
   in vertex snap mode.  Such symbols can be selected by clicking near
   any snap position, and are presented like groups in the selection
   (feature #238).
 
 * Can now specify the initial attribute settings in the preferences

   (feature #235).
 
 * Symbols ipelet now presents symbols in groups (feature #237).


 * Added default external editors on Windows and MacOS.

 * Fixed IPELATEXDIR environment variable not working on windows (bug
   #242).

 * Fix "Waiting for external editor" dialog not closing on MacOS. Define
   default external editors for Windows and MacOS.

 * New "Preferences" item in Help menu with information about
   "prefs.lua".

 * Lua function tonumber now returns nil when it fails to convert,
   like the original Lua function (bug #236).



What's new in the port
==
We have to add a trigger for strtod(3) usage in another part of 
ipeplatform.cpp


Other modifications are trivial updates.


Tested on amd64 only.

--
Alessandro DE LAURENZIS
[mailto:jus...@atlantide.t28.net]
Web: http://www.atlantide.t28.net
LinkedIn: https://www.linkedin.com/in/delaurenzis/
Index: Makefile
===
RCS file: /cvs/ports/graphics/ipe/Makefile,v
retrieving revision 1.20
diff -u -p -u -p -r1.20 Makefile
--- Makefile	17 May 2019 16:45:27 -	1.20
+++ Makefile	13 Jun 2019 06:01:06 -
@@ -2,11 +2,10 @@
 
 COMMENT =		extensible drawing editor
 
-V =			7.2.11
+V =			7.2.12
 SUBST_VARS +=		V
 DISTNAME =		ipe-${V}-src
 PKGNAME =		ipe-${V}
-REVISION =		0
 
 # Upstream libraries would be installed as libxxx.so.${V}
 SHLIB_VERSION =	0.0
Index: distinfo
===
RCS file: /cvs/ports/graphics/ipe/distinfo,v
retrieving revision 1.4
diff -u -p -u -p -r1.4 distinfo
--- distinfo	30 Apr 2019 06:44:29 -	1.4
+++ distinfo	13 Jun 2019 06:01:06 -
@@ -1,2 +1,2 @@
-SHA256 (ipe-7.2.11-src.tar.gz) = oi3K6ctmD0ZmeKa1aOn+0bEqODDklGVZTWX8eJsLpyU=
-SIZE (ipe-7.2.11-src.tar.gz) = 1950071
+SHA256 (ipe-7.2.12-src.tar.gz) = fJp4sg59CL5YUCmSQLnNK0WYL/EpmpA6mZ2P+HllgeM=
+SIZE (ipe-7.2.12-src.tar.gz) = 1958565
Index: patches/patch-src_ipe_lua_prefs_lua
===
RCS file: /cvs/ports/graphics/ipe/patches/patch-src_ipe_lua_prefs_lua,v
retrieving revision 1.3
diff -u -p -u -p -r1.3 patch-src_ipe_lua_prefs_lua
--- patches/patch-src_ipe_lua_prefs_lua	30 Apr 2019 06:44:29 -	1.3
+++ patches/patch-src_ipe_lua_prefs_lua	13 Jun 2019 06:01:06 -
@@ -5,7 +5,7 @@ Swapped out the default on-screen keyboa
 Index: src/ipe/lua/prefs.lua
 --- src/ipe/lua/prefs.lua.orig
 +++ src/ipe/lua/prefs.lua
-@@ -256,7 +256,7 @@ elseif config.platform == "apple" then
+@@ -290,7 +290,7 @@ elseif config.platform == "apple" then
prefs.keyboard = "open -a KeyboardViewer -n"
  else
-- On Linux, you could use: prefs.keyboard = "onboard &"
Index: patches/patch-src_ipelib_ipeplatform_cpp
===
RCS file: /cvs/ports/graphics/ipe/patches/patch-src_ipelib_ipeplatform_cpp,v
retrieving revision 1.2
diff -u -p -u -p -r1.2 patch-src_ipelib_ipeplatform_cpp
--- patches/patch-src_ipelib_ipeplatform_cpp	30 Apr 2019 06:44:29 -	1.2
+++ patches/patch-src_ipelib_ipeplatform_cpp	13 Jun 2019 06:01:06 -
@@ -23,3 +23,12 @@ Index: src/ipelib/ipeplatform.cpp
  #else
return strtod_l(s.z(), nullptr, ipeLocale);
  #endif
+@@ -633,6 +639,8 @@ int Platform::toNumber(String s, int , double &
+ dValue = _strtod_l(s.z(), , ipeLocale);
+   else
+ dValue = strtod(s.z(), );
++#elif defined(__OpenBSD__)
++  dValue = strtod(s.z(), );
+ #else
+   dValue = strtod_l(s.z(), , ipeLocale);
+ #endif


[NEW] x11/xeventbind

2019-06-12 Thread Alessandro DE LAURENZIS

Dear ports@ readers,

this is a proposal for a new port: x11/xeventbind, a small utility that 
runs a command upon X11 events.


DESCR
=

xeventbind is a small utility that runs a command when specific X11
events happen (so far the only supported event is a resolution change).


This is a very small project (and done in haste, if you ask me... see 
the patch, for example), but I found it very useful and I didn't find 
anything similar on the net (if you know alternatives, I'd be very 
interested).


Tested on amd64 only, taking MAINTEINER, tarball attached.

All the best

--
Alessandro DE LAURENZIS
[mailto:jus...@atlantide.t28.net]
Web: http://www.atlantide.t28.net
LinkedIn: https://www.linkedin.com/in/delaurenzis/


xeventbind.tar.gz
Description: application/gzip


[NEW] x11/gsimplecal

2019-06-11 Thread Alessandro DE LAURENZIS

Dear ports@ readers,

this is a proposal for a new port: x11/gsimplecal, a lightweight GTK 
calendar applet.


DESCR
=

Gsimplecal is a lightweight calendar applet written in C++ using GTK.

It was originally made for use with tint2 panel in the openbox window
manager (to be launched upon clock click), but of course it works in
any environment (just bind gsimplecal to some hotkey).  For easier
integration, when started it first shows up, when launched again it
closes the running instance (no need to write wrapper scripts or
whatever).

It can be configured to not only show the calendar, but also display
multiple clocks for different world timezones.


There is no recent activity on the upstream site, so probably the 
development has been stopped; nevertheless, I use it since a long time 
(with fluxbox) and it is pretty functional.


Code compiles flawlessly, no patch needed.

Tested on amd64 only, taking MAINTAINER, tarball attached.

All the best

--
Alessandro DE LAURENZIS
[mailto:jus...@atlantide.t28.net]
Web: http://www.atlantide.t28.net
LinkedIn: https://www.linkedin.com/in/delaurenzis/


gsimplecal.tar.gz
Description: application/gzip


Re: [FIX] cad/netgen on sparc64

2019-06-07 Thread Alessandro DE LAURENZIS

Hello Jeremie,

Sorry for the late reply.

On 08/05/2019 16:30, Jeremie Courreges-Anglas wrote:

On Wed, May 08 2019, Jeremie Courreges-Anglas  wrote:

On Mon, May 06 2019, Jeremie Courreges-Anglas  wrote:

[...]


One serious issue, straight from the 80's, is the lack of feature
detection for lots of stuff, leading to ugly #ifdefs.  For example,
using gcc -std=gnu89, the only warning you get is:

   timing.c:103: warning: passing argument 1 of 'time' from incompatible 
pointer type

The code passes a "long" instead of a "time_t" to time(3).  If you look
at timing.c you see that time(3) is used as a fallback when neither
clock(3), times(2) or getrusage(2) are available.  But to unveil the
better code, you need to add the appropriate -DHAVE_CLOCK to CPPFLAGS;
see base/config.h for more fun.  It's a shame for a project using
autoconf-2.69.


Here's a minimal diff to work around the time_t issue - a potential
stack overflow on 32 bits archs - by using what should be a better code
path.  time(3) counts real seconds, not consumed cpu time.

Note that the time_t issue is still a bug on all 32 bits archs using
a 64 bits time_t.  To fix it, the type of variables "st" and "now"
in base/timing.c should be changed from "long" to "time_t".

ok?


Err, newer patch with a comment explaining the rationale.

ok?


Probably I'm not the right person to give an "Ok", but I confirm that no 
unexpected behaviours happen after installing the patch (tested on amd64 
only).


All the best

--
Alessandro DE LAURENZIS
[mailto:jus...@atlantide.t28.net]
Web: http://www.atlantide.t28.net
LinkedIn: https://www.linkedin.com/in/delaurenzis/



Re: audio/radiotray

2019-05-07 Thread Alessandro De Laurenzis
Hello Fred,

FWIW, I use it.


Il 6 maggio 2019 21:39:28 CEST, Fred  ha scritto:
>Hi ports@,
>
>I'm the maintainer of audio/radiotray - it still works - but it is no 
>longer maintained upstream, and probably should be re-written in
>Python3...
>
>Now that we have gradio do we need radiotray?
>
>Cheers
>
>Fred
>
>PS I'm happy to remain as maintainer.

-- 
Inviato dal mio dispositivo Android con K-9 Mail. Perdonate la brevità.


Re: [FIX] cad/netgen on sparc64

2019-05-07 Thread Alessandro DE LAURENZIS

Hello Jeremie,

thanks for the time you spent to look into this.

On 06/05/2019 16:34, Jeremie Courreges-Anglas wrote:
[...]

gcc errors out because for ANSI C, C++ comments are an extension
disabled by -std=c89.  To enable extensions, one should use -std=gnu89.
This fixes the build with gcc.  ok?


Index: Makefile
===
RCS file: /cvs/ports/cad/netgen/Makefile,v
retrieving revision 1.2
diff -u -p -r1.2 Makefile
--- Makefile28 Apr 2019 20:51:27 -  1.2
+++ Makefile6 May 2019 14:31:24 -
@@ -31,7 +31,7 @@ PATCHORIG =   .patch.orig
  WRKCONF = ${WRKSRC}/scripts
  CONFIGURE_STYLE = gnu
  CONFIGURE_ENV =   CPPFLAGS="-I${X11BASE}/include" \
-   CFLAGS="-std=c89 ${CFLAGS}"
+   CFLAGS="-std=gnu89 ${CFLAGS}"
  CONFIGURE_ARGS =  --with-tcl=${MODTCL_LIBDIR} \
--with-tk=${MODTK_LIBDIR}


Sure, that looks good to me. Is the REVISION bump needed?

--
Alessandro DE LAURENZIS
[mailto:jus...@atlantide.t28.net]
Web: http://www.atlantide.t28.net
LinkedIn: https://www.linkedin.com/in/delaurenzis/



Re: [FIX] cad/netgen on sparc64

2019-05-05 Thread Alessandro DE LAURENZIS

Hello Jeremie,

On 05/05/2019 15:10, Jeremie Courreges-Anglas wrote:

On Sun, May 05 2019, Alessandro DE LAURENZIS  wrote:

[...]

Maybe there are lots of those warnings and fixing them all means
patching, but this is technically the wrong approach.  The right
approach is to include the appropriate headers.


The problem is that in some files the "//" comment style has been used
(and it isn't allowed in ANSI C - don't know why clang isn't
complaining).

We can simply use a more specific flag
(-Wno-implicit-function-declaration" to obtain the same effect.


Implicit function declarations was a misfeature of the C language, fixed
by C99.  I don't think we want to go backwards.



Of course, I fully agree with you in principle; but pragmatically, since 
those headers doesn't exist, we have only 2 options here: either we 
accept the log "pollution" or we selectively hide those warnings (mind: 
I'm not saying that they aren't important, I just would like to 
empathize other messages that could be significant and that could be 
missed otherwise...)


I'm already working with upstream in order to clean-up the code, but 
there are some more serious issues to solve with higher priority (not 
related to this port, which is just one of the tools 
developed/maintained by them, see [1]).



I don't have a sparc64 station, so I'm asking for confirmation.


You can test on eg amd64 using

   make clean all CC=gcc CXX=g++ 


Thanks; so using "-std=c89" I can replicate the issue reported; it is 
also confirmed that the errors go away using 
"-Wno-implicit-function-declaration.


[1] http://opencircuitdesign.com/

--
Alessandro DE LAURENZIS
[mailto:jus...@atlantide.t28.net]
Web: http://www.atlantide.t28.net
LinkedIn: https://www.linkedin.com/in/delaurenzis/



[FIX] cad/netgen on sparc64

2019-05-05 Thread Alessandro DE LAURENZIS

Dear ports@ readers,

from the last landry@'s sparc64 bulk build report (see [1]), I noticed 
that cad/netgen was failing.


I think the reason is the "-std=c89" flag that I added to reduce the 
noise during compile, hiding a bunch of this kind of messages:



warning: implicit declaration of function 'xxx' is invalid in C99


The problem is that in some files the "//" comment style has been used 
(and it isn't allowed in ANSI C - don't know why clang isn't complaining).


We can simply use a more specific flag 
(-Wno-implicit-function-declaration" to obtain the same effect.


I don't have a sparc64 station, so I'm asking for confirmation.

I'm not entirely sure that we need a revision bump; if not, just let me 
know and I'll amend the diff.


[1] https://marc.info/?l=openbsd-ports=155686934021110=2

--
Alessandro DE LAURENZIS
[mailto:jus...@atlantide.t28.net]
Web: http://www.atlantide.t28.net
LinkedIn: https://www.linkedin.com/in/delaurenzis/
Index: Makefile
===
RCS file: /cvs/ports/cad/netgen/Makefile,v
retrieving revision 1.2
diff -u -p -u -p -r1.2 Makefile
--- Makefile	28 Apr 2019 20:51:27 -	1.2
+++ Makefile	5 May 2019 07:07:45 -
@@ -3,7 +3,7 @@
 COMMENT =	tool for netlist comparison (LVS) and format manipulation
 DISTNAME =	netgen-1.5.118
 EXTRACT_SUFX =	.tgz
-REVISION =	0
+REVISION =	1
 
 CATEGORIES =	cad
 
@@ -31,7 +31,7 @@ PATCHORIG =	.patch.orig
 WRKCONF =		${WRKSRC}/scripts
 CONFIGURE_STYLE =	gnu
 CONFIGURE_ENV =		CPPFLAGS="-I${X11BASE}/include" \
-			CFLAGS="-std=c89 ${CFLAGS}"
+			CFLAGS="-Wno-implicit-function-declaration ${CFLAGS}"
 CONFIGURE_ARGS =	--with-tcl=${MODTCL_LIBDIR} \
 			--with-tk=${MODTK_LIBDIR}
 


Re: [NEW] cad/qucs-s-0.0.21

2019-05-01 Thread Alessandro DE LAURENZIS

Hi Anthony,

thanks for your feedback.

On 01/05/2019 09:34, Anthony J. Bentley wrote:

Alessandro DE LAURENZIS writes:

Dear ports@ readers,

here is a proposal for a new port: cad/qucs-s, an universal GUI for
SPICE and not-SPICE circuit simulators.


Can you use the stable distfiles under the GitHub releases section rather
than GH_*?



I didn't notice. Update tarball attached.

--
Alessandro DE LAURENZIS
[mailto:jus...@atlantide.t28.net]
Web: http://www.atlantide.t28.net
LinkedIn: https://www.linkedin.com/in/delaurenzis/


qucs-s.tar.gz
Description: application/gzip


[NEW] cad/qucs-s-0.0.21

2019-05-01 Thread Alessandro DE LAURENZIS

Dear ports@ readers,

here is a proposal for a new port: cad/qucs-s, an universal GUI for 
SPICE and not-SPICE circuit simulators.


DESCR
=

Qucs-S is a spin-off of the Qucs cross-platform circuit simulator (which
uses its own simulation kernel Qucsator). "S" letter indicates SPICE.

The purpose of the Qucs-S subproject is to use free SPICE circuit
simulation kernels with the Qucs GUI.

Qucs-S is not a simulator by itself, but it requires to use a simulation
backend (Ngspice is recommended).


This is, in my opinion, a very promising project and it is one of the 
few pretty functional and reasonable complete SPICE integrated 
environments I'm aware of (it has a schematic entry tool, a waveform 
viewer, a component library and some helpers for analog and mixed-signal 
circuit simulation).


Some comments on the port:

- Github project's name is "qucs_s", but it is always referenced as 
"qucs-s" and the binaries follow the latter convention, so I preferred 
to set PKGNAME to force the name change;


- code compiles flawlessly and patches are needed only to put the man 
pages in the standard location (${LOCALBASE}/man instead of 
${LOCALBASE}/share/man);


- portcheck is flagging the presence of python modules without compiled 
versions, but those are actually only examples and I don't think it is 
worth adding python as a dependency just for that (there are also 
examples for Octave...); please suggest if I have to change this.


Tested on amd64 only. Taking MAINTAINER. Tarball attached.

--
Alessandro DE LAURENZIS
[mailto:jus...@atlantide.t28.net]
Web: http://www.atlantide.t28.net
LinkedIn: https://www.linkedin.com/in/delaurenzis/


qucs-s.tar.gz
Description: application/gzip


Re: cad/yosys: enabling "show" feature

2019-04-29 Thread Alessandro DE LAURENZIS

Ping!

On 25/04/2019 16:59, Alessandro DE LAURENZIS wrote:

Dear ports@ readers,

now that graphics/xdot is in the tree, we can enable the "show" function 
in cad/yosys.


It works flawlessly, provided that:
- graphics/xdot is added to the RUN_DEPENDS list;
- the file passes/cmds/show.cc is patched, since BSD fuser(1) works 
differently than the GNU counterpart.


While there, I slightly modified the "do-configure" target, passing 
$MAKE_ENV to the ${MAKE_PROGRAM} environment to avoid two annoying 
(although harmless) "bash: tclsh: command not found" errors.


Diff attached.




--
Alessandro DE LAURENZIS
[mailto:jus...@atlantide.t28.net]
Web: http://www.atlantide.t28.net
LinkedIn: https://www.linkedin.com/in/delaurenzis/



Re: [UPDATE] graphics/ipe 7.2.9 -> 7.2.11

2019-04-27 Thread Alessandro DE LAURENZIS

Ping!

On 22/04/2019 11:45, Alessandro DE LAURENZIS wrote:

Dear ports@ readers,

the attached diff (gzip-ed in order to avoid issues with MSDOS line 
endings during emailing) updates graphics/ipe to the lastest release.


What's new upstream
===
7.2.9  -> 7.2.10: see [1]
7.2.10 -> 7.2.11: see [2]

What's new in the port
==
- Small tweaks to comments in Makefile;

- in patch-src_ipe6upgrade_Makefile, patch-src_ipeextract_Makefile and 
patch-src_ipetoipe_Makefile I moved ${ICONV_LIBS} (which contains "-L 
${LOCALBASE}/lib") to the end of ${CXX} line, in order to avoid a clash 
with the old "libipe.so.0.0" shared library ("-lipe" would try to link 
against /usr/local/lib instead of ../../build/lib);


- included 'sys/errno.h' in src/ipelib/ipeplatform.cpp.

(All other patches are still needed).

Works fine on amd64 (limited testing).

[1] 
https://mailman.science.uu.nl/pipermail/ipe-announce/2019-February/77.html 

[2] 
https://mailman.science.uu.nl/pipermail/ipe-announce/2019-March/82.html





--
Alessandro DE LAURENZIS
[mailto:jus...@atlantide.t28.net]
Web: http://www.atlantide.t28.net
LinkedIn: https://www.linkedin.com/in/delaurenzis/



cad/yosys: enabling "show" feature

2019-04-25 Thread Alessandro DE LAURENZIS

Dear ports@ readers,

now that graphics/xdot is in the tree, we can enable the "show" function 
in cad/yosys.


It works flawlessly, provided that:
- graphics/xdot is added to the RUN_DEPENDS list;
- the file passes/cmds/show.cc is patched, since BSD fuser(1) works 
differently than the GNU counterpart.


While there, I slightly modified the "do-configure" target, passing 
$MAKE_ENV to the ${MAKE_PROGRAM} environment to avoid two annoying 
(although harmless) "bash: tclsh: command not found" errors.


Diff attached.

--
Alessandro DE LAURENZIS
[mailto:jus...@atlantide.t28.net]
Web: http://www.atlantide.t28.net
LinkedIn: https://www.linkedin.com/in/delaurenzis/
Index: Makefile
===
RCS file: /cvs/ports/cad/yosys/Makefile,v
retrieving revision 1.3
diff -u -p -u -p -r1.3 Makefile
--- Makefile	9 Jan 2019 04:27:09 -	1.3
+++ Makefile	25 Apr 2019 14:42:44 -
@@ -6,6 +6,7 @@ GH_ACCOUNT =	YosysHQ
 GH_PROJECT =	yosys
 GH_TAGNAME =	yosys-0.8
 DISTNAME =	${GH_TAGNAME}
+REVISION =	0
 
 CATEGORIES =	cad
 
@@ -30,6 +31,7 @@ BUILD_DEPENDS =	devel/bison \
 
 RUN_DEPENDS =	cad/abc \
 		math/graphviz \
+		graphics/xdot \
 		shells/bash
 
 LIB_DEPENDS =	${MODTCL_LIB_DEPENDS} \
@@ -58,7 +60,7 @@ FAKE_FLAGS =	PREFIX="${TRUEPREFIX}"
 
 do-configure:
 	@${SUBST_CMD} ${WRKSRC}/kernel/yosys.cc
-	@cd ${WRKBUILD} && exec ${MAKE_PROGRAM} config-gcc
+	@cd ${WRKBUILD} && exec env -i ${MAKE_ENV} ${MAKE_PROGRAM} config-gcc
 
 post-install:
 	${MODPY_BIN} ${MODPY_LIBDIR}/compileall.py \
Index: patches/patch-passes_cmds_show_cc
===
RCS file: patches/patch-passes_cmds_show_cc
diff -N patches/patch-passes_cmds_show_cc
--- /dev/null	1 Jan 1970 00:00:00 -
+++ patches/patch-passes_cmds_show_cc	25 Apr 2019 14:42:44 -
@@ -0,0 +1,14 @@
+$OpenBSD$
+
+Index: passes/cmds/show.cc
+--- passes/cmds/show.cc.orig
 passes/cmds/show.cc
+@@ -847,7 +847,7 @@ struct ShowPass : public Pass {
+ log_cmd_error("Shell command failed!\n");
+ 		} else
+ 		if (format.empty()) {
+-			std::string cmd = stringf("{ test -f '%s.pid' && fuser -s '%s.pid'; } || ( echo $$ >&3; exec xdot '%s'; ) 3> '%s.pid' &", dot_file.c_str(), dot_file.c_str(), dot_file.c_str(), dot_file.c_str());
++			std::string cmd = stringf("test -f '%s.pid' -a -n \"`fuser '%s.pid' 2>/dev/null`\" || ( echo $$ >&3; exec xdot '%s'; ) 3> '%s.pid' &", dot_file.c_str(), dot_file.c_str(), dot_file.c_str(), dot_file.c_str());
+ 			log("Exec: %s\n", cmd.c_str());
+ 			if (run_command(cmd) != 0)
+ log_cmd_error("Shell command failed!\n");


Re: [NEW] graphics/xdot-1.0 [It was: [NEW] math/xdot-1.0]

2019-04-24 Thread Alessandro DE LAURENZIS

Hello,

gentle reminder. This is already ok sthen@

Anyone willing to import?

On 20/04/2019 12:31, Stuart Henderson wrote:

On 2019/04/20 12:25, Alessandro DE LAURENZIS wrote:

Hello Stuart,

On 18/04/2019 18:07, Stuart Henderson wrote:
[...]

- the pypi tarball doesn't include the tests, which I instead enabled
using the one from github; is this acceptable?


I usually prefer pypi over github, because pypi uses uploaded files
rather than autogenerated ones which are subject to change. It depends
how useful the tests are really.



So I reverted the port's Makefile to use pypi (I think the test suite is
useful mainly for development).


: RUN_DEPENDS =   math/graphviz \
: devel/py-gobject3 \

That should be devel/py-gobject3${MODPY_FLAVOR}


Fixed.

Updated tarball attached.


Thanks - this one is OK sthen@ if someone would like to import.




--
Alessandro DE LAURENZIS
[mailto:jus...@atlantide.t28.net]
Web: http://www.atlantide.t28.net
LinkedIn: https://www.linkedin.com/in/delaurenzis/



[UPDATE] graphics/ipe 7.2.9 -> 7.2.11

2019-04-22 Thread Alessandro DE LAURENZIS

Dear ports@ readers,

the attached diff (gzip-ed in order to avoid issues with MSDOS line 
endings during emailing) updates graphics/ipe to the lastest release.


What's new upstream
===
7.2.9  -> 7.2.10: see [1]
7.2.10 -> 7.2.11: see [2]

What's new in the port
==
- Small tweaks to comments in Makefile;

- in patch-src_ipe6upgrade_Makefile, patch-src_ipeextract_Makefile and 
patch-src_ipetoipe_Makefile I moved ${ICONV_LIBS} (which contains "-L 
${LOCALBASE}/lib") to the end of ${CXX} line, in order to avoid a clash 
with the old "libipe.so.0.0" shared library ("-lipe" would try to link 
against /usr/local/lib instead of ../../build/lib);


- included 'sys/errno.h' in src/ipelib/ipeplatform.cpp.

(All other patches are still needed).

Works fine on amd64 (limited testing).

[1] 
https://mailman.science.uu.nl/pipermail/ipe-announce/2019-February/77.html
[2] 
https://mailman.science.uu.nl/pipermail/ipe-announce/2019-March/82.html


--
Alessandro DE LAURENZIS
[mailto:jus...@atlantide.t28.net]
Web: http://www.atlantide.t28.net
LinkedIn: https://www.linkedin.com/in/delaurenzis/


ipe.diff.gz
Description: application/gzip


Re: [NEW] graphics/xdot-1.0 [It was: [NEW] math/xdot-1.0]

2019-04-20 Thread Alessandro DE LAURENZIS

Hello Stuart,

On 18/04/2019 18:07, Stuart Henderson wrote:
[...]

- the pypi tarball doesn't include the tests, which I instead enabled
using the one from github; is this acceptable?


I usually prefer pypi over github, because pypi uses uploaded files
rather than autogenerated ones which are subject to change. It depends
how useful the tests are really.



So I reverted the port's Makefile to use pypi (I think the test suite is 
useful mainly for development).



: RUN_DEPENDS =   math/graphviz \
: devel/py-gobject3 \

That should be devel/py-gobject3${MODPY_FLAVOR}


Fixed.

Updated tarball attached.

--
Alessandro DE LAURENZIS
[mailto:jus...@atlantide.t28.net]
Web: http://www.atlantide.t28.net
LinkedIn: https://www.linkedin.com/in/delaurenzis/


xdot.tar.gz
Description: application/gzip


[UPDATE] cad/qrouter 1.3.109 -> 1.4.50

2019-04-17 Thread Alessandro DE LAURENZIS

Dear ports@ readers,

please find enclosed a diff for updating cad/qrouter to a recent version 
of the "development" branch (which is actually as stable as the "stable" 
one, with a bunch of bugs fixed; see [1] for a complete list).


In particular, this release solves a problem I found during net 
parasitics extraction (unreasonable high values, likely due to some kind 
of missing initialization).


The only relevant difference in the port's Makefile is the addition of 
"CFLAGS += -Wno-return-type" (since the code is not C99 compliant). All 
the patches are still needed.


Tested on amd64 only.

[1] http://opencircuitdesign.com/qrouter/history.html

--
Alessandro DE LAURENZIS
[mailto:jus...@atlantide.t28.net]
Web: http://www.atlantide.t28.net
LinkedIn: https://www.linkedin.com/in/delaurenzis/
Index: Makefile
===
RCS file: /cvs/ports/cad/qrouter/Makefile,v
retrieving revision 1.2
diff -u -p -u -p -r1.2 Makefile
--- Makefile	19 Mar 2019 07:45:39 -	1.2
+++ Makefile	18 Apr 2019 04:37:41 -
@@ -1,7 +1,7 @@
 # $OpenBSD: Makefile,v 1.2 2019/03/19 07:45:39 bentley Exp $
 
 COMMENT =	multi-level, over-the-cell maze router for VLSI design
-DISTNAME =	qrouter-1.3.109
+DISTNAME =	qrouter-1.4.50
 EXTRACT_SUFX =	.tgz
 CATEGORIES =	cad
 
@@ -25,6 +25,9 @@ CONFIGURE_STYLE =	autoconf no-autoheader
 AUTOCONF_VERSION =	2.60
 CONFIGURE_ARGS +=	--with-tcl=${MODTCL_LIBDIR} \
 			--with-tk=${MODTK_LIBDIR}
+
+# Not C99
+CFLAGS +=	-Wno-return-type
 
 NO_TEST =	Yes
 
Index: distinfo
===
RCS file: /cvs/ports/cad/qrouter/distinfo,v
retrieving revision 1.2
diff -u -p -u -p -r1.2 distinfo
--- distinfo	19 Mar 2019 07:45:39 -	1.2
+++ distinfo	18 Apr 2019 04:37:41 -
@@ -1,2 +1,2 @@
-SHA256 (qrouter-1.3.109.tgz) = ZMzuaNDQmwA5SPvie5KNU/pboyzwagyQrvrT9owPT5o=
-SIZE (qrouter-1.3.109.tgz) = 290145
+SHA256 (qrouter-1.4.50.tgz) = IeaHgitIXWB200tTXZWDxBoJnHdND+Z8Ty7tnFnCvkU=
+SIZE (qrouter-1.4.50.tgz) = 332280


[NEW] graphics/xdot-1.0 [It was: [NEW] math/xdot-1.0]

2019-04-17 Thread Alessandro DE LAURENZIS

After-unlock ping!

I think 'graphics' is more appropriate as category.

All tests are ok. Updated tarball attached.

On 31/03/2019 14:23, Alessandro DE LAURENZIS wrote:

Dear ports@ readers,

this is a proposal for a new port: xdot, an interactive viewer for 
Graphviz dot graphs.


DESCR
=
xdot.py is an interactive viewer for graphs written in Graphviz's dot
language.  It uses internally the GraphViz's xdot output format as an
intermediate format, Python GTK bindings, and Cairo for rendering.

xdot.py can be used either as a standalone application from command
line, or as a library embedded in your Python application.

The main intent of this port is to enable graphical representation of 
the selected part of the design in cad/yosys (which uses xdot by 
default); nonetheless, this is a very handy and (moderately) lightweight 
tool, probably of general interest.


Some doubts:

- is "math" the right category? Or "graphics" would be more appropriate?

- is the package name ok or should it be changed in "py-xdot"?

- the pypi tarball doesn't include the tests, which I instead enabled 
using the one from github; is this acceptable?


Taking MAINTAINER, works fine on amd64. Tarball attached.




--
Alessandro DE LAURENZIS
[mailto:jus...@atlantide.t28.net]
Web: http://www.atlantide.t28.net
LinkedIn: https://www.linkedin.com/in/delaurenzis/


xdot.tar.gz
Description: application/gzip


[NEW] math/xdot-1.0

2019-03-31 Thread Alessandro DE LAURENZIS

Dear ports@ readers,

this is a proposal for a new port: xdot, an interactive viewer for 
Graphviz dot graphs.


DESCR
=
xdot.py is an interactive viewer for graphs written in Graphviz's dot
language.  It uses internally the GraphViz's xdot output format as an
intermediate format, Python GTK bindings, and Cairo for rendering.

xdot.py can be used either as a standalone application from command
line, or as a library embedded in your Python application.

The main intent of this port is to enable graphical representation of 
the selected part of the design in cad/yosys (which uses xdot by 
default); nonetheless, this is a very handy and (moderately) lightweight 
tool, probably of general interest.


Some doubts:

- is "math" the right category? Or "graphics" would be more appropriate?

- is the package name ok or should it be changed in "py-xdot"?

- the pypi tarball doesn't include the tests, which I instead enabled 
using the one from github; is this acceptable?


Taking MAINTAINER, works fine on amd64. Tarball attached.

--
Alessandro DE LAURENZIS
[mailto:jus...@atlantide.t28.net]
Web: http://www.atlantide.t28.net
LinkedIn: https://www.linkedin.com/in/delaurenzis/


xdot.tar.gz
Description: application/gzip


[UPDATE] OpenSTA 2.0.11.20190327 -> 2.0.12.20190329

2019-03-30 Thread Alessandro DE LAURENZIS

Dear ports@ readers,

we recently imported OpenSTA in the tree, but a couple of days ago I 
noticed that the API was partially broken since a file was missing 
during the installation.


I reported the problem upstream (see [1]) and they managed to fix it 
quickly. They also made some other minor modifications and bumped the 
version number (but I prefer to keep the commit date in the package 
revision).


Diff attached.

[1] https://github.com/abk-openroad/OpenSTA/issues/21

--
Alessandro DE LAURENZIS
[mailto:jus...@atlantide.t28.net]
Web: http://www.atlantide.t28.net
LinkedIn: https://www.linkedin.com/in/delaurenzis/
Index: Makefile
===
RCS file: /cvs/ports/cad/opensta/Makefile,v
retrieving revision 1.3
diff -u -p -u -p -r1.3 Makefile
--- Makefile	27 Mar 2019 09:23:51 -	1.3
+++ Makefile	30 Mar 2019 07:17:02 -
@@ -3,12 +3,12 @@
 COMMENT =	Parallax Static Timing Analyzer
 
 # use version number from git log
-DISTNAME =	opensta-2.0.11.20190327
+DISTNAME =	opensta-2.0.12.20190329
 CATEGORIES =	cad
 
 GH_ACCOUNT =	abk-openroad
 GH_PROJECT =	OpenSTA
-GH_COMMIT =	0f735f99b73c00772103a64da8489b39d09614b4
+GH_COMMIT =	ed3ad4fb3012feb53328a80df6ad01efd477f891
 
 MAINTAINER =	Alessandro De Laurenzis 
 
Index: distinfo
===
RCS file: /cvs/ports/cad/opensta/distinfo,v
retrieving revision 1.1.1.1
diff -u -p -u -p -r1.1.1.1 distinfo
--- distinfo	27 Mar 2019 08:45:25 -	1.1.1.1
+++ distinfo	30 Mar 2019 07:17:02 -
@@ -1,2 +1,2 @@
-SHA256 (opensta-2.0.11.20190327-0f735f99.tar.gz) = e/u18nV8b9iB+ktNod1M1EDnzO3DuOknvggkF9ZG/Mo=
-SIZE (opensta-2.0.11.20190327-0f735f99.tar.gz) = 911029
+SHA256 (opensta-2.0.12.20190329-ed3ad4fb.tar.gz) = fRJ9YJuTPng7bgIxD1aODNL63xOiR9FIbmI4PMcdi8w=
+SIZE (opensta-2.0.12.20190329-ed3ad4fb.tar.gz) = 911049
Index: pkg/PLIST
===
RCS file: /cvs/ports/cad/opensta/pkg/PLIST,v
retrieving revision 1.1.1.1
diff -u -p -u -p -r1.1.1.1 PLIST
--- pkg/PLIST	27 Mar 2019 08:45:25 -	1.1.1.1
+++ pkg/PLIST	30 Mar 2019 07:17:02 -
@@ -129,6 +129,7 @@ include/SimpleRCDelayCalc.hh
 include/SpefNamespace.hh
 include/SpefReader.hh
 include/Sta.hh
+include/StaConfig.hh
 include/StaMain.hh
 include/StaState.hh
 include/Stats.hh


Re: [NEW/WIP] Qflow porting // [7/7] opensta-20190320

2019-03-27 Thread Alessandro DE LAURENZIS

Hello Stuart,

On 26/03/2019 11:59, Stuart Henderson wrote:

[...]

I suspect you probably have a symlink for tclsh -> tclsh8.5. The following
is needed to let it build otherwise:

--- Makefile.orig   Tue Mar 26 10:57:14 2019
+++ MakefileTue Mar 26 10:56:23 2019
@@ -32,6 +32,9 @@ CONFIGURE_ARGS = -DTCL_HEADER=${MODTCL_INCDIR}/tcl.h \
  
  NO_TEST =	Yes
  
+pre-configure:

+   cd ${WRKSRC}/etc && ${MODTCL_WISH_ADJ} TclEncode.tcl SwigCleanup.tcl
+
  post-install:
${INSTALL_DATA_DIR} ${PREFIX}/share/doc/opensta
${INSTALL_DATA} ${WRKSRC}/doc/OpenSTA.pdf ${PREFIX}/share/doc/opensta

With that added, it's OK sthen@ to import.



You're right, I removed tclsh and wish links to avoid similar issues for 
the future. But while there, maybe it's worth noting that, being both 
TclEncode.tcl and SwigCleanup.tcl run during build, and since they 
launch wish, the following message is displayed:



Application initialization failed: no display name and no $DISPLAY environment 
variable


I don't understand if anything is actually going wrong, since the 
compilation reach the end without problems...


Meanwhile, upstream accepted our patch: patch-etc_SwigCleanup_tcl no 
needed anymore.


Updated tarball attached.

--
Alessandro DE LAURENZIS
[mailto:jus...@atlantide.t28.net]
Web: http://www.atlantide.t28.net
LinkedIn: https://www.linkedin.com/in/delaurenzis/


opensta.tar.gz
Description: application/gzip


Re: [NEW/WIP] Qflow porting // [7/7] opensta-20190320

2019-03-26 Thread Alessandro DE LAURENZIS

Hello Stuart,

On 22/03/2019 19:26, Stuart Henderson wrote:

On 2019/03/22 16:29, Alessandro DE LAURENZIS wrote:

My main concern with the port is related to the license; although it is
clear that the software is released under the GPLv3, as confirmed by all
source code file headers, I'm puzzled; the README.md ends as follows:


## License

Copyright (c) 2019, Parallax Software, Inc.
All rights reserved.

No part of this document may be copied, transmitted or
disclosed in any form or fashion without the express
written consent of Parallax Software, Inc.


What do you think?


odd. can you ask upstream about that please?



I flagged the problem upstream and they promptly amended the Copyright 
notice (they use some filter to extract the open source version from the 
proprietary one, see [1]).


Updated tarball attached; note that I have added:

COMPILER = base-clang ports-gcc base-gcc

since this is C++11

Also, reported the reinterpret_cast issue upstream [2] to see if it is 
possible to remove the only patch we currently require (this should not 
prevent to import, though).


[1] https://github.com/abk-openroad/OpenSTA/issues/17

[2] https://github.com/abk-openroad/OpenSTA/issues/20

--
Alessandro DE LAURENZIS
[mailto:jus...@atlantide.t28.net]
Web: http://www.atlantide.t28.net
LinkedIn: https://www.linkedin.com/in/delaurenzis/


opensta.tar.gz
Description: application/gzip


[NEW/WIP] Qflow porting // [7/7] opensta-20190320

2019-03-22 Thread Alessandro DE LAURENZIS

Dear ports@ readers,

this is a proposal for a new port: OpenSTA, the Parallax Static Timing 
Analyzer.


DESCR
=

OpenSTA is a gate level static timing verifier. As a stand-alone
executable it can be used to verify the timing of a design using
standard file formats:
- Verilog netlist
- Liberty library
- SDC timing constraints
- SDF delay annotation
- SPEF parasitics

OpenSTA uses a TCL command interpreter to read the design, specify
timing constraints and print timing reports.


I knew this project since a couple of years and its porting was in my 
TODO list; but recently I noticed that the internal Qflow tool for 
static timing analysis is partially broken (already reported upstream, 
see [1]), so I decided to treat it as a Qflow dependency.


Please note that it needs cudd (see [2], port is already ok sthen).

To compile it, I only patched a file in order to change reinterpret_cast 
to static_cast.


I also added some documentation with the post-install target (those 
files are in the upstream tarball, but it seems there is no way to 
install them through their Makefile).


My main concern with the port is related to the license; although it is 
clear that the software is released under the GPLv3, as confirmed by all 
source code file headers, I'm puzzled; the README.md ends as follows:



## License

Copyright (c) 2019, Parallax Software, Inc.
All rights reserved.

No part of this document may be copied, transmitted or
disclosed in any form or fashion without the express
written consent of Parallax Software, Inc.


What do you think?

Taking MAINTAINER, port's tarball attached.

[1] http://opencircuitdesign.com/pipermail/eda-dev/2019-March/000127.html

[2]  https://marc.info/?l=openbsd-ports=155319441906710=2

--
Alessandro DE LAURENZIS
[mailto:jus...@atlantide.t28.net]
Web: http://www.atlantide.t28.net
LinkedIn: https://www.linkedin.com/in/delaurenzis/


opensta.tar.gz
Description: application/gzip


Re: [NEW] cudd-3.0.0

2019-03-22 Thread Alessandro DE LAURENZIS

Hello Stuart,

On 22/03/2019 15:16, Stuart Henderson wrote:

[...]

Diff on top of yours.

- fix fetches

- it's c++ so use COMPILER


Just for my comprehension, could you please elaborate a bit (or point me 
to the relevant manpage)?




- it builds with or without doxygen, but if present during the configure
run then it's needed during build, so add a build dep

- get rid of "-release @PACKAGE_VERSION@" to remove the lib symlink;
if other things were looking for 'cudd-3.0.0' then you'd probably want
to keep it but it doesn't sound like that's the case

- symlink is simpler than patching for gdiff

with this added, OK sthen@ to import.

[...]

Works for me, no objections. Thanks a lot.

--
Alessandro DE LAURENZIS
[mailto:jus...@atlantide.t28.net]
Web: http://www.atlantide.t28.net
LinkedIn: https://www.linkedin.com/in/delaurenzis/



Re: [NEW] cudd-3.0.0

2019-03-22 Thread Alessandro DE LAURENZIS

Hello,

On 22/03/2019 10:27, Alessandro DE LAURENZIS wrote:

Hello Anthony,

thanks a lot for your feedback.

On 22/03/2019 06:16, Anthony J. Bentley wrote:

Hi Alessandro,

Alessandro DE LAURENZIS writes:

All tests are PASS, provided that we use gdiff(1) instead of diff(1) for
the dddmp set.


Careful...

-#   Store corresponding CNF in different format:
+#   Store corresponding CNF in gdifferent format:
  # NodeByNode method -> file 4.node1.tmp
  # MaxtermByMaxterm -> file 4.max1.tmp
-# Best with different options:
+# Best with gdifferent options:


Ooops. Fixed.




HOMEPAGE =  https://sourceforge.net/projects/cudd-mirror/


I think https://www.davidkebo.com/cudd is a better homepage. I would use
both SourceForge and https://www.davidkebo.com/source/cudd_versions/ in
MASTER_SITES.


Actually David doesn't seem to be affiliated to the project in any way, 
and his page is just a tutorial (there are a few on the net).


The most complete source I can find is a Github mirror:

https://github.com/ivmai/cudd

which contains a collection of the most recent releases and upstream's 
README as front page.


I would suggest to switch to this as master site, but I'm facing issues 
in fetching the tarball: we're trying to download:


https://github.com/ivmai/cudd/archive/3.0.0/cudd-3.0.0.tar.gz

instead of:

https://github.com/ivmai/cudd/archive/cudd-3.0.0.tar.gz

I vaguely remember a similar problem some months ago... do we have to 
change something in ports' infrastructure?





One thing I'm not able to do is to force the name of the .so file to be
libcudd.so.0.0, instead of libcudd-3.0.0.so.0.0. Could someone point me
in the right direction?


Well, it seems to create both. I suppose you could @comment out the
redundant library in PLIST, but personally I wouldn't bother; in ports
like textproc/apertium I just leave it be. Which one does OpenSTA pick 
up?




OpenSTA searches for libcudd-3.0.0.so.0.0 (so we would need

WANTLIB += cudd-3.0.0

which doesn't seem ideal to me, but maybe I'm wrong...).


I was wrong; OpenSTA picks libcudd.so.0.0 up (but still needs 
WANTLIB+=cudd-3.0.0 for a clean port-lib-depends-check)




Also, I would prefer to add some documentation, given that it's 
available in the release (although not updated to the latest version), 
but I didn't find a way to do it using a target from the upstream's 
Makefile; I just added a post-install part in the port's one (this 
requires pdflatex, so I added print/texlive/base to BUILD_DEPENDS).


Updated tarball (using GH_ACCOUNT/PROJECT/TAGNAME, so breaking 'make 
fetch') attached.





--
Alessandro DE LAURENZIS
[mailto:jus...@atlantide.t28.net]
Web: http://www.atlantide.t28.net
LinkedIn: https://www.linkedin.com/in/delaurenzis/



Re: [NEW] cudd-3.0.0

2019-03-22 Thread Alessandro DE LAURENZIS

Hello Anthony,

thanks a lot for your feedback.

On 22/03/2019 06:16, Anthony J. Bentley wrote:

Hi Alessandro,

Alessandro DE LAURENZIS writes:

All tests are PASS, provided that we use gdiff(1) instead of diff(1) for
the dddmp set.


Careful...

-#   Store corresponding CNF in different format:
+#   Store corresponding CNF in gdifferent format:
  # NodeByNode method -> file 4.node1.tmp
  # MaxtermByMaxterm -> file 4.max1.tmp
-# Best with different options:
+# Best with gdifferent options:


Ooops. Fixed.




HOMEPAGE =  https://sourceforge.net/projects/cudd-mirror/


I think https://www.davidkebo.com/cudd is a better homepage. I would use
both SourceForge and https://www.davidkebo.com/source/cudd_versions/ in
MASTER_SITES.


Actually David doesn't seem to be affiliated to the project in any way, 
and his page is just a tutorial (there are a few on the net).


The most complete source I can find is a Github mirror:

https://github.com/ivmai/cudd

which contains a collection of the most recent releases and upstream's 
README as front page.


I would suggest to switch to this as master site, but I'm facing issues 
in fetching the tarball: we're trying to download:


https://github.com/ivmai/cudd/archive/3.0.0/cudd-3.0.0.tar.gz

instead of:

https://github.com/ivmai/cudd/archive/cudd-3.0.0.tar.gz

I vaguely remember a similar problem some months ago... do we have to 
change something in ports' infrastructure?





One thing I'm not able to do is to force the name of the .so file to be
libcudd.so.0.0, instead of libcudd-3.0.0.so.0.0. Could someone point me
in the right direction?


Well, it seems to create both. I suppose you could @comment out the
redundant library in PLIST, but personally I wouldn't bother; in ports
like textproc/apertium I just leave it be. Which one does OpenSTA pick up?



OpenSTA searches for libcudd-3.0.0.so.0.0 (so we would need

WANTLIB += cudd-3.0.0

which doesn't seem ideal to me, but maybe I'm wrong...).

Also, I would prefer to add some documentation, given that it's 
available in the release (although not updated to the latest version), 
but I didn't find a way to do it using a target from the upstream's 
Makefile; I just added a post-install part in the port's one (this 
requires pdflatex, so I added print/texlive/base to BUILD_DEPENDS).


Updated tarball (using GH_ACCOUNT/PROJECT/TAGNAME, so breaking 'make 
fetch') attached.


--
Alessandro DE LAURENZIS
[mailto:jus...@atlantide.t28.net]
Web: http://www.atlantide.t28.net
LinkedIn: https://www.linkedin.com/in/delaurenzis/


cudd.tar.gz
Description: application/gzip


[NEW] cudd-3.0.0

2019-03-21 Thread Alessandro DE LAURENZIS

Dear ports@ readers,

this is a proposal for a new port: CUDD, the Colorado University 
Decision Diagram.


DESCR
=

CUDD (Colorado University Decision Diagram) is a package written in C
for the manipulation of decision diagrams.  It supports binary decision
diagrams (BDDs), algebraic decision diagrams (ADDs), and Zero-Suppressed
BDDs (ZDDs).


This library is required by some ports I have in my pipe, and 
specifically by Parallax OpenSTA, which is the last dependency still 
missing for Qflow.


I chose devel as category, please let me know if that's ok.

All tests are PASS, provided that we use gdiff(1) instead of diff(1) for 
the dddmp set.


One thing I'm not able to do is to force the name of the .so file to be 
libcudd.so.0.0, instead of libcudd-3.0.0.so.0.0. Could someone point me 
in the right direction?


Taking MAINTAINER, tarball attached.

--
Alessandro DE LAURENZIS
[mailto:jus...@atlantide.t28.net]
Web: http://www.atlantide.t28.net
LinkedIn: https://www.linkedin.com/in/delaurenzis/


cudd.tar.gz
Description: application/gzip


Re: [NEW/WIP] Qflow porting // [6/7] netgen 1.5.118

2019-03-19 Thread Alessandro DE LAURENZIS

Anthony, Stuart,

thanks for your feedback

On 19/03/2019 18:01, Stuart Henderson wrote:

On 2019/03/19 02:07, Anthony J. Bentley wrote:

[...]

CONFIGURE_ARGS +=


= is fine. (You can check with "make show=CONFIGURE_ARGS".)


fixed




CFLAGS =-std=c89


This will break if CFLAGS is set in mk.conf or on the command line.

$ make CFLAGS=-Dfoo
(snip)
../base/objlist.h:270:39: error: expected ')'
(snip)
*** Error 1 in /ptmp/mystuff/cad/netgen 
(/ptmp/ports/infrastructure/mk/bsd.port.mk:2467 'all')


+  CFLAGS="${CFLAGS} -I/usr/X11R6/include"


Should use ${X11BASE}. Since that's a make variable (not visible to the
configure script), that means running ${SUBST_CMD} on the patched file
to perform the substitution. It's kind of a hassle.

So how about this instead:

CONFIGURE_ENV = CPPFLAGS="-I${X11BASE}/include" \
 CFLAGS="-std=c89 ${CFLAGS}"


If that works that's the right way to do it.


Modified as per your suggestion. I'll probably update soon other ports 
(magic, qrouter, ...) using the same style.





With this minor fix, the port looks fine to me.


Rest is OK with me. Personally I wouldn't bother patching away the
echo's in configure though.



I removed the CFLAGS definition in script/configure, but still patched 
away the echo lines (for me the message is misleading and I made the 
same for some other ports); hope this is acceptable.


Updated tarball attached.

--
Alessandro DE LAURENZIS
[mailto:jus...@atlantide.t28.net]
Web: http://www.atlantide.t28.net
LinkedIn: https://www.linkedin.com/in/delaurenzis/


netgen.tar.gz
Description: application/gzip


[Update] qrouter 1.3.104 -> 1.3.109

2019-03-19 Thread Alessandro DE LAURENZIS

Dear ports@ readers,

here is a trivial update of qrouter to the latest stable release.

No significant change, bug fixing only.

--
Alessandro DE LAURENZIS
[mailto:jus...@atlantide.t28.net]
Web: http://www.atlantide.t28.net
LinkedIn: https://www.linkedin.com/in/delaurenzis/
Index: Makefile
===
RCS file: /cvs/ports/cad/qrouter/Makefile,v
retrieving revision 1.1.1.1
diff -u -p -u -p -r1.1.1.1 Makefile
--- Makefile	28 Aug 2018 15:09:08 -	1.1.1.1
+++ Makefile	19 Mar 2019 06:26:24 -
@@ -1,7 +1,7 @@
 # $OpenBSD: Makefile,v 1.1.1.1 2018/08/28 15:09:08 bcallah Exp $
 
 COMMENT =	multi-level, over-the-cell maze router for VLSI design
-DISTNAME =	qrouter-1.3.104
+DISTNAME =	qrouter-1.3.109
 EXTRACT_SUFX =	.tgz
 CATEGORIES =	cad
 
Index: distinfo
===
RCS file: /cvs/ports/cad/qrouter/distinfo,v
retrieving revision 1.1.1.1
diff -u -p -u -p -r1.1.1.1 distinfo
--- distinfo	28 Aug 2018 15:09:08 -	1.1.1.1
+++ distinfo	19 Mar 2019 06:26:24 -
@@ -1,2 +1,2 @@
-SHA256 (qrouter-1.3.104.tgz) = xOa9QkasD6bxOGqT0lMU/yiL3uLOtob8moYK/8ns8aw=
-SIZE (qrouter-1.3.104.tgz) = 290044
+SHA256 (qrouter-1.3.109.tgz) = ZMzuaNDQmwA5SPvie5KNU/pboyzwagyQrvrT9owPT5o=
+SIZE (qrouter-1.3.109.tgz) = 290145


[NEW/WIP] Qflow porting // [6/7] netgen 1.5.118

2019-03-19 Thread Alessandro DE LAURENZIS

Dear ports@ readers,

this is a proposal for a new port: netgen 1.5.118, a tool for netlist 
comparison (LVS) and format manipulation.


DESCR
=

Netgen is a tool for comparing netlists, a process known as LVS (Layout
vs. Schematic). This is an important step in the VLSI IC design flow,
ensuring that the geometry that has been laid out matches the expected
circuit.

Netgen is considered complete and competitive with commercial-grade
tools. Code was added to handle device properties and to resolve
parallel combinations of devices whether individually instantiated
or implied through the use of the "M" property. Serial and parallel
networks of passive devices are analyzed and compared between networks.


The port is pretty "standard": I just patched the upstream Makefile to 
log warning and error messages to stderr, the GNU-style configure script 
(bypassing a very trivial wrapper) to add usual OpenBSD settings and the 
shebang line for bash in the main script.


Also, adding "CFLAG = -std=c89" removes a bunch of warning messages 
during build step, making the output much more readable.


Finally, I moved the documentation to the standard location (please note 
that no manpage exists for this tool).


Taking MAINTAINER, tarball attached.

--
Alessandro DE LAURENZIS
[mailto:jus...@atlantide.t28.net]
Web: http://www.atlantide.t28.net
LinkedIn: https://www.linkedin.com/in/delaurenzis/


netgen.tar.gz
Description: application/gzip


Re: [NEW/WIP] Qflow porting // [5/7] magic 8.1.224 (or 8.2.100?)

2019-03-14 Thread Alessandro DE LAURENZIS

On 14/03/2019 06:16, Anthony J. Bentley wrote:

Stuart Henderson writes:

One thing I noticed
when running it for a quick test though, if I use "-d OGL" I immediately get
a crash, do you see that too?

$ magic -d OGL
X Error of failed request:  BadValue (integer parameter out of range for oper
ation)
   Major opcode of failed request:  155 (GLX)
   Minor opcode of failed request:  3 (X_GLXCreateContext)
   Value in failed request:  0x0
   Serial number of failed request:  1127
   Current serial number in output stream:  1144


I see the same, including with software rendering (LIBGL_ALWAYS_SOFTWARE=1).



Well, I have to admit: I use Magic since -uh, 1998?- a very long time 
and I was not aware of GLX rendering! Ok, I know, man pages are always 
good readings...


So, I've tracked it down; it seems that the problem has to do with 
direct rendering; applying the following trivial patch:



Index: graphics/grTOGL1.c
--- graphics/grTOGL1.c.orig
+++ graphics/grTOGL1.c
@@ -303,7 +303,7 @@ GrTOGLInit ()
 
 /* TRUE = Direct rendering, FALSE = Indirect rendering */

 /* (note that direct rendering may not be able to deal with pixmaps) */
-grXcontext = glXCreateContext(grXdpy, grVisualInfo, NULL, GL_FALSE);
+grXcontext = glXCreateContext(grXdpy, grVisualInfo, NULL, GL_TRUE);
 
 /* Basic GL parameters */
 


you should be able to get the OpenGL window. Unfortunately, this is not 
enough; the GUI is barely usable: moving the box, its previous image is 
left behind, instead to be erased and redrawn on each move or reshape 
operation. So this is a no-go for the distribution version (8.1 branch).


We could simply disable the OpenGL rendering, as suggested by Stuart, 
but after having seen how much better the rendering is, I would consider 
this a significant limitation, particularly for medium to complex layouts.


The alternative is to switch to the development version (8.2 branch). 
There, the crash has gone and the GUI is pretty usable, provided that we 
enable the cairo off-screen rendering (otherwise the toolbar buttons are 
blank or contain random trash bits).


Please note that both GUI misbehaviours have been reported by the author 
in an old email thread [1], even if the topic was the usage of Magic on 
OS-X.


In a first time, I wasn't keen to switch to 8.2, because I tried to 
follow the development branch a couple of years ago and the code was 
very unstable. But from the website I see that


"As of revision 25, the Cairo graphics interface code is complete and 
the code base is reasonably stable"


so maybe we could try with the most recent release.

Anthony, you aren't against the use of this "beta" code, are you?

One thing I'm still not able to use is the 3d feature: if you launch the 
command "specialopen wind3d" when an existing layout is open, some 
garbage appears in the existing window; if you try the same command when 
no layout is loaded, the program segfaults. I'll report this upstream soon.


Please find attached a tarball for 8.2.100 release, lightly tested on amd64.

Let me know what you prefer to do.

[1] http://opencircuitdesign.com/pipermail/magic-dev/2012/000583.html

--
Alessandro DE LAURENZIS
[mailto:jus...@atlantide.t28.net]
Web: http://www.atlantide.t28.net
LinkedIn: https://www.linkedin.com/in/delaurenzis/


magic.tar.gz
Description: application/gzip


Re: [NEW/WIP] Qflow porting // [5/7] magic 8.1.224

2019-03-08 Thread Alessandro DE LAURENZIS

Anthony, Stuart,

thanks for taking time to look into this.

On 07/03/2019 07:11, Anthony J. Bentley wrote:


No strong opinion of that but if you push patches upstream (see below)
it may be more practical to target the development branch for a while.


I would be reluctant to switch to the development branch, even 
temporarily, since that isn't practical from user perspective.



Upstream configure script is a wrapper around the one actually generated
by autoconf, so I used:

CONFIGURE_STYLE = simple


A very thin wrapper. I'd rather set CONFIGURE_STYLE=gnu and
WRKCONF=${WRKSRC}/scripts instead.


Done.


and patched it adding -std=c89 and -Wno-parentheses to CFLAGS, in order
to get rid of the huge amount of Wimplicit-function-declaration and
Wno-parentheses warnings.


My memory of magic is that it has some truly ancient ifdefs and code
issues that might be worth fixing and shouldn't be too difficult.


Other patches have essentially to do with termios functions; in
particular, txGetTermState is not defined is OpenBSD; please carefully
review what I made in patches/patch-textio_txInput_c, since I just tried
to adapt some code found on the Internet used for similar situations;
neither I really understand if those functions are actually needed when
the Tcl/Tk version is used (this is the case for our port).


-#if defined(SYSV) || defined(CYGWIN)
+#if defined(SYSV) || defined(CYGWIN) || defined(__OpenBSD__)

This is exactly what I'm talking about. If you check FreeBSD they have
similar patches. When I see ifdefs like this in a codebase this old,
I wonder: what systems even hit the else? I'd consider removing the
pre-termios case completely and pushing it upstream.


That would be ideal; still, the termios code, as I said, was not there 
at all, and my additions need review. Any feedback on that?


/usr/local/lib/magic/sys/scmos.tech>> post-extract:

 mv ${WRKSRC}/lef/lefWrite.c.orig ${WRKSRC}/lef/lefWrite.c_orig


PATCHORIG would remove the need for this.


Done.



Can you kill the 2>&1 as well on the Makefile patches


Done.


Testing the port more deeply, I noticed that tech files were affected by 
a bug similar to [1], but actually the clang preprocessor is behaving 
differently (newlines seem to be removed). We could try to patch the sed 
commands in scmos/Makefile and scmos/cif_template/Makefile, but this 
would make the port unusable for archs with gcc in base.


I think it would be better to switch to ports-gcc as compiler, 
considering also that it doesn't report all those 
Wimplicit-function-declaration and Wparentheses messages (this isn't 
necessarily a good thing, I know, but still...)


Attached an updated tarball.

[1] https://bugs.debian.org/cgi-bin/bugreport.cgi?bug=698760

--
Alessandro DE LAURENZIS
[mailto:jus...@atlantide.t28.net]
Web: http://www.atlantide.t28.net
LinkedIn: https://www.linkedin.com/in/delaurenzis/


magic.tar.gz
Description: application/gzip


Re: [NEW/WIP] Qflow porting // [5/7] magic 8.1.224

2019-03-06 Thread Alessandro DE LAURENZIS
I forgot to say that the port installs the "magic.1" man page; this 
isn't a real conflict with magic(5), but could be a bit confusing; 
please let me know if it is worth to change the man page name (is 
something like magic-vlsi more appropriate?)


On 07/03/2019 06:12, Alessandro DE LAURENZIS wrote:

Dear ports@ readers,

this is a proposal for a new port: magic 8.1.224, a venerable VLSI 
layout tool, written in the 1980's at Berkeley by John Ousterhout (now 
famous primarily for Tcl), still very popular with universities and 
small companies, and an integral part of Qflow (not yet in the tree), 
where it is used as layout viewer and for parasitics extraction and DRC 
(but it can do a lot more).


DESCR
=

Magic is an interactive system for creating and modifying VLSI
circuit layouts. It is used to design basic cells and to combine
them hierarchically into large structures.

Magic understands quite a bit about the nature of circuits. It has
built-in knowledge of layout rules; during editing, it continuously
checks for rule violations. Magic also knows about connectivity and
transistors, and contains a built-in hierarchical circuit extractor.
It has a plow operation that permits to stretch or compact cells.
Lastly, Magic has routing tools to make the circuit interconnections.

Magic is based on the Mead-Conway style of design: it uses simplified
design rules and circuit structures that make it easier layout drawing
and permit Magic to provide powerful assistance, at the cost of
slightly less dense circuits.


There are 2 branches, distribution (8.1) and development (8.2); the 
former is now reasonably stable, and should be expected to work without 
undue issues; let's stay on that.


Upstream configure script is a wrapper around the one actually generated 
by autoconf, so I used:


CONFIGURE_STYLE = simple

and patched it adding -std=c89 and -Wno-parentheses to CFLAGS, in order 
to get rid of the huge amount of Wimplicit-function-declaration and 
Wno-parentheses warnings.


Upstream makefile has been patched in order to log errors and warnings 
to stdout.


Other patches have essentially to do with termios functions; in 
particular, txGetTermState is not defined is OpenBSD; please carefully 
review what I made in patches/patch-textio_txInput_c, since I just tried 
to adapt some code found on the Internet used for similar situations; 
neither I really understand if those functions are actually needed when 
the Tcl/Tk version is used (this is the case for our port).


Taking MAINTAINER, tarball attached.




--
Alessandro DE LAURENZIS
[mailto:jus...@atlantide.t28.net]
Web: http://www.atlantide.t28.net
LinkedIn: https://www.linkedin.com/in/delaurenzis/



[NEW/WIP] Qflow porting // [5/7] magic 8.1.224

2019-03-06 Thread Alessandro DE LAURENZIS

Dear ports@ readers,

this is a proposal for a new port: magic 8.1.224, a venerable VLSI 
layout tool, written in the 1980's at Berkeley by John Ousterhout (now 
famous primarily for Tcl), still very popular with universities and 
small companies, and an integral part of Qflow (not yet in the tree), 
where it is used as layout viewer and for parasitics extraction and DRC 
(but it can do a lot more).


DESCR
=

Magic is an interactive system for creating and modifying VLSI
circuit layouts. It is used to design basic cells and to combine
them hierarchically into large structures.

Magic understands quite a bit about the nature of circuits. It has
built-in knowledge of layout rules; during editing, it continuously
checks for rule violations. Magic also knows about connectivity and
transistors, and contains a built-in hierarchical circuit extractor.
It has a plow operation that permits to stretch or compact cells.
Lastly, Magic has routing tools to make the circuit interconnections.

Magic is based on the Mead-Conway style of design: it uses simplified
design rules and circuit structures that make it easier layout drawing
and permit Magic to provide powerful assistance, at the cost of
slightly less dense circuits.


There are 2 branches, distribution (8.1) and development (8.2); the 
former is now reasonably stable, and should be expected to work without 
undue issues; let's stay on that.


Upstream configure script is a wrapper around the one actually generated 
by autoconf, so I used:


CONFIGURE_STYLE = simple

and patched it adding -std=c89 and -Wno-parentheses to CFLAGS, in order 
to get rid of the huge amount of Wimplicit-function-declaration and 
Wno-parentheses warnings.


Upstream makefile has been patched in order to log errors and warnings 
to stdout.


Other patches have essentially to do with termios functions; in 
particular, txGetTermState is not defined is OpenBSD; please carefully 
review what I made in patches/patch-textio_txInput_c, since I just tried 
to adapt some code found on the Internet used for similar situations; 
neither I really understand if those functions are actually needed when 
the Tcl/Tk version is used (this is the case for our port).


Taking MAINTAINER, tarball attached.

--
Alessandro DE LAURENZIS
[mailto:jus...@atlantide.t28.net]
Web: http://www.atlantide.t28.net
LinkedIn: https://www.linkedin.com/in/delaurenzis/


magic.tar.gz
Description: application/gzip


[Update] editors/nedit 5.5 -> 5.7

2019-02-24 Thread Alessandro DE LAURENZIS

Dear ports@ readers,

this is a proposal for upgrading editors/nedit to its latest release.

What's new upstream
===
Mostly bug fixing (list is very very long... see the 'ReleaseNotes' 
upstream file for details.



What's new in the port
==

- dropped wrapmark.diff patchfile, currently hosted on 
http://distfiles.nl, containing a patch originally from [1] and now 
unmaintained;


- DESCR, COMMENT and README updated to match upstream documentation changes;

- added HOMEPAGE;

- dropped patch-doc_nc_man, patch-doc_nedit_doc, patch-doc_nedit_man, 
patch-source_regularExp_c, patch-util_check_lin_tif_c and patch-util_misc_c;


- added patch-Makefile, patch-doc_nc_pod and patch-doc_nedit_pod;

- remaining patches updated as needed.

- taking MAINTAINER.

I lightly tested it on amd64 and verified that at least the crash 
reported in [2] has gone.


Content of enclosed diff is already ok sthen@

[1] 
http://ajbj.free.fr/nedit/nedit-scripts/INTERESTING/utilsrc/nedit/myNEditPatches/HairlineMargin.diff

[2] https://marc.info/?l=openbsd-ports=154970588028859=2

--
Alessandro DE LAURENZIS
[mailto:jus...@atlantide.t28.net]
Web: http://www.atlantide.t28.net
LinkedIn: https://www.linkedin.com/in/delaurenzis/
Index: Makefile
===
RCS file: /cvs/ports/editors/nedit/Makefile,v
retrieving revision 1.78
diff -u -p -u -p -r1.78 Makefile
--- Makefile	4 Sep 2018 12:46:11 -	1.78
+++ Makefile	24 Feb 2019 17:27:58 -
@@ -1,15 +1,16 @@
 # $OpenBSD: Makefile,v 1.78 2018/09/04 12:46:11 espie Exp $
 
-COMMENT=		X11/Motif GUI text editor
+COMMENT=		a fast, compact Motif/X11 plain text editor
 
-DISTNAME=		nedit-5.5
+DISTNAME=		nedit-5.7
 P_V=			0.5
-REVISION=		23
 EPOCH=			0
 DISTFILES=		${DISTNAME}-src${EXTRACT_SUFX} \
 			nedit_patterns-${P_V}.tgz:0
-PATCHFILES=		wrapmark.diff:0
+
 CATEGORIES=		editors x11
+HOMEPAGE =		https://sourceforge.net/projects/nedit/
+MAINTAINER =		Alessandro De Laurenzis 
 
 MASTER_SITES=		${MASTER_SITE_SOURCEFORGE:=nedit/}
 MASTER_SITES0=		http://distfiles.nl/
@@ -25,14 +26,14 @@ WANTLIB += c expat fontconfig freetype i
 LIB_DEPENDS+=		converters/libiconv \
 			x11/motif
 
-ALL_TARGET=		openbsd
+ALL_TARGET=		openbsd docs
 
 NO_TEST=		Yes
 
-CFLAGS+=		-DBUILD_UNTESTED_NEDIT
-MAKE_ENV+=MOTIFLIB='-L${LOCALBASE}/lib -lXm'
+MAKE_ENV+=		MOTIFLIB='-L${LOCALBASE}/lib -lXm'
 
 do-install:
+	sed -i "s/^\.TH NC//" ${WRKSRC}/doc/nc.man
 	${INSTALL_PROGRAM} ${WRKBUILD}/source/nedit ${PREFIX}/bin
 	${INSTALL_PROGRAM} ${WRKBUILD}/source/nc ${PREFIX}/bin/ncl
 	${INSTALL_MAN} ${WRKSRC}/doc/nedit.man ${PREFIX}/man/man1/nedit.1
Index: distinfo
===
RCS file: /cvs/ports/editors/nedit/distinfo,v
retrieving revision 1.18
diff -u -p -u -p -r1.18 distinfo
--- distinfo	18 Jan 2015 03:13:50 -	1.18
+++ distinfo	24 Feb 2019 17:27:58 -
@@ -1,6 +1,4 @@
-SHA256 (nedit/nedit-5.5-src.tar.gz) = fNa7yd8VQhzM6Zj0xqzZZuCmRTxV6JegMN4TLggkP1I=
+SHA256 (nedit/nedit-5.7-src.tar.gz) = rdmsef+XNSitNshoWCOLrE9ZiWwn2/KFy+ak1CX8oXo=
 SHA256 (nedit/nedit_patterns-0.5.tgz) = 7qnt2CG5d9xtvfYGk7nAOlSeuNrba+d++GO5y58bpdA=
-SHA256 (nedit/wrapmark.diff) = zVi6lmNskZwQ4zfIedeKFD//kbECfO/Hq8uocohGqO0=
-SIZE (nedit/nedit-5.5-src.tar.gz) = 1503942
+SIZE (nedit/nedit-5.7-src.tar.gz) = 1344754
 SIZE (nedit/nedit_patterns-0.5.tgz) = 9245
-SIZE (nedit/wrapmark.diff) = 50327
Index: patches/patch-Makefile
===
RCS file: patches/patch-Makefile
diff -N patches/patch-Makefile
--- /dev/null	1 Jan 1970 00:00:00 -
+++ patches/patch-Makefile	24 Feb 2019 17:27:58 -
@@ -0,0 +1,13 @@
+$OpenBSD$
+
+Index: Makefile
+--- Makefile.orig
 Makefile
+@@ -32,7 +32,6 @@ all:
+ 	(cd Xlt;$(MAKE) -f Makefile.$@ libXlt.a)
+ 	(cd Microline/XmL;$(MAKE) -f Makefile.$@ libXmL.a)
+ 	(cd source; $(MAKE) -f Makefile.$@ nedit nc)
+-	@source/nedit -V
+ 
+ # This should not be in the default build, as users may not have Perl
+ # installed.  This is only interesting to developers.
Index: patches/patch-doc_nc_man
===
RCS file: patches/patch-doc_nc_man
diff -N patches/patch-doc_nc_man
--- patches/patch-doc_nc_man	29 Aug 2007 10:06:52 -	1.7
+++ /dev/null	1 Jan 1970 00:00:00 -
@@ -1,119 +0,0 @@
-$OpenBSD: patch-doc_nc_man,v 1.7 2007/08/29 10:06:52 mbalmer Exp $
 doc/nc.man.orig	Thu Sep 30 23:03:59 2004
-+++ doc/nc.man	Mon Aug 27 12:03:21 2007
-@@ -128,30 +128,30 @@
- .rm #[ #] #H #V #F C
- .\" 
- .\"
--.IX Title "NC 1"
--.TH NC 1 "2004-07-21" "NEdit 5.5" "NEdit documentation"
-+.IX Title "NCL 1"
-+.TH NCL 1 "2004-07-21" "NEdit 5.5" "NEdit documentation"
- .SH "NAME"
--nc \- Client program for NEdi

Re: [Update] Ipe 7.2.9

2019-02-24 Thread Alessandro DE LAURENZIS

Stuart, Edd,

On 16/02/2019 20:31, Alessandro DE LAURENZIS wrote:

[...]

While there:

- yet another tabs tweak;
- added IPEDOCDIR to MAKE_FLAGS (it was already in FAKE_FLAGS), 
otherwise the "Ipe manual" item in the help menu doesn't work.




Following Stuart's suggestion not to mix port's update and style tiding, 
I'm attaching an updated diff with no whitespace changes which I hope is 
more easy to read.


Please let me know if it is ok.

All the best

--
Alessandro DE LAURENZIS
[mailto:jus...@atlantide.t28.net]
Web: http://www.atlantide.t28.net
LinkedIn: https://www.linkedin.com/in/delaurenzis/
? sysclean.sh
Index: Makefile
===
RCS file: /cvs/ports/graphics/ipe/Makefile,v
retrieving revision 1.16
diff -u -p -u -p -r1.16 Makefile
--- Makefile	24 Oct 2018 14:28:05 -	1.16
+++ Makefile	24 Feb 2019 09:07:00 -
@@ -2,11 +2,10 @@
 
 COMMENT =		extensible drawing editor
 
-V =			7.1.2
+V =			7.2.9
 SUBST_VARS +=		V
 DISTNAME =		ipe-${V}-src
 PKGNAME =		ipe-${V}
-REVISION =		9
 
 # their libraries would be installed as libxxx.so.${V}, overide this.
 SHLIB_VERSION =	0.0
@@ -18,26 +17,28 @@ SHARED_LIBS +=		ipelua		${SHLIB_VERSION}
 SHARED_LIBS +=		ipeui		${SHLIB_VERSION}
 
 CATEGORIES =		graphics math
-HOMEPAGE =		http://ipe7.sourceforge.net/
-MAINTAINER =		Edd Barrett 
+HOMEPAGE =		http://ipe.otfried.org/
+MAINTAINER =		Alessandro De Laurenzis 
 
 # GPLv3+
 PERMIT_PACKAGE_CDROM =	Yes
 
-WANTLIB += GL QtGui X11 Xrender c cairo expat fontconfig freetype
-WANTLIB += glib-2.0 gobject-2.0 ${MODLUA_WANTLIB} iconv intl m pixman-1 png
-WANTLIB += pthread ${COMPILER_LIBCXX} xcb xcb-render xcb-shm
-WANTLIB += z
+WANTLIB += ${COMPILER_LIBCXX} ${MODLUA_WANTLIB} Qt5Core Qt5Gui
+WANTLIB += Qt5Widgets c cairo freetype iconv jpeg m png16 pthread z
 
 COMPILER =		base-clang ports-gcc base-gcc
 
-MASTER_SITES =		${MASTER_SITE_SOURCEFORGE:=ipe7/}
+MASTER_SITES =		https://dl.bintray.com/otfried/generic/ipe/${V:R}/
 
 # Dependencies
 MODULES =		lang/lua \
-			x11/qt4
+			x11/qt5
+
+MODLUA_VERSION =	5.3
+MODLUA_SA =		Yes
+
 LIB_DEPENDS =		${MODLUA_LIB_DEPENDS} \
-			${MODQT4_LIB_DEPENDS}
+			${MODQT5_LIB_DEPENDS}
 RUN_DEPENDS =		print/texlive/base \
 			x11/xvkbd
 
@@ -46,8 +47,11 @@ MAKE_FLAGS +=		CXX="${CXX}" \
 			IPE_USE_ICONV=-DIPE_USE_ICONV \
 			ICONV_CFLAGS=-I${LOCALBASE}/include \
 			ICONV_LIBS="-L${LOCALBASE}/lib -liconv" \
-			SHLIB_VERSION=${SHLIB_VERSION}
-MAKE_ENV +=		PKG_CONFIG_PATH=${LOCALBASE}/lib/qt4/pkgconfig
+			SHLIB_VERSION=${SHLIB_VERSION} \
+			JPEG_CFLAGS=-I${LOCALBASE}/include \
+			IPEDOCDIR=${PREFIX}/share/doc/ipe \
+			DL_LIBS=""
+MAKE_ENV +=		PKG_CONFIG_PATH=${LOCALBASE}/lib/qt5/pkgconfig
 FAKE_FLAGS +=		INSTALL_ROOT=${WRKINST} \
 			SHLIB_VERSION=${SHLIB_VERSION} \
 			IPEMANDIR=${PREFIX}/man/man1 \
@@ -55,14 +59,12 @@ FAKE_FLAGS +=		INSTALL_ROOT=${WRKINST} \
 
 USE_GMAKE =		Yes
 NO_TEST =		Yes
+UPDATE_PLIST_ARGS +=	-i MODLUA_DEP_VERSION
 
 WRKDIST = ${WRKDIR}/${PKGNAME}
 WRKSRC = ${WRKDIST}/src
 
-post-install:
-	sed -i "s,/usr/share/,${LOCALBASE}/share/," \
-		${WRKDIST}/fontmaps/texlive-fontmap.xml
-	${INSTALL_DATA} -c ${WRKDIST}/fontmaps/texlive-fontmap.xml \
-		${PREFIX}/share/ipe/7.1.2/fontmap.xml
+pre-build:
+	${SUBST_CMD} ${WRKSRC}/config.mak
 
 .include 
Index: distinfo
===
RCS file: /cvs/ports/graphics/ipe/distinfo,v
retrieving revision 1.2
diff -u -p -u -p -r1.2 distinfo
--- distinfo	18 Jan 2015 03:14:10 -	1.2
+++ distinfo	24 Feb 2019 09:07:00 -
@@ -1,2 +1,2 @@
-SHA256 (ipe-7.1.2-src.tar.gz) = M5DeZ+4ist50rPqy6fspvP1v4YBogIb+u3WNyKgu2hE=
-SIZE (ipe-7.1.2-src.tar.gz) = 1416404
+SHA256 (ipe-7.2.9-src.tar.gz) = toeseM8KfYMQ5/+VL5yEBXBluzTHOeFHA2vtLgYGEMQ=
+SIZE (ipe-7.2.9-src.tar.gz) = 1848781
Index: patches/patch-src_common_mak
===
RCS file: /cvs/ports/graphics/ipe/patches/patch-src_common_mak,v
retrieving revision 1.1.1.1
diff -u -p -u -p -r1.1.1.1 patch-src_common_mak
--- patches/patch-src_common_mak	9 Jul 2012 15:56:35 -	1.1.1.1
+++ patches/patch-src_common_mak	24 Feb 2019 09:07:00 -
@@ -1,22 +1,20 @@
 $OpenBSD: patch-src_common_mak,v 1.1.1.1 2012/07/09 15:56:35 edd Exp $
 src/common.mak.orig	Sun Jan 15 12:19:25 2012
-+++ src/common.mak	Sat Jul  7 15:20:05 2012
-@@ -137,13 +137,13 @@ else
- soname  = -Wl,-dylib_install_name,$(IPELIBDIRINFO)/lib$1.so.$(IPEVERS)
-   else	
- DLL_LDFLAGS	+= -shared 
--soname  = -Wl,-soname,lib$1.so.$(IPEVERS)
-+soname  = -Wl,-soname,lib$1.so.${SHLIB_VERSION}
-   endif
-   buildlib	= $(BUILDDIR)/lib
--  dll_target= $(buildlib)/lib$1.so.$(IPEVERS)
--  dll_symlinks  = ln -sf lib$1.so.$(IPEVERS) $(buildlib)/lib$1.so
--  install_symlinks = ln -sf lib$1.so.$(IPEVERS) \
--		$(INSTALL_ROOT)$(IPELIBDIR)/lib$1.so
-+  dll_target= $(buildlib)/lib$1.so.${SHLIB_VERSION}
-

Re: [Update] Ipe 7.2.9

2019-02-16 Thread Alessandro DE LAURENZIS

Hi Stuart,

On 16/02/2019 18:37, Stuart Henderson wrote:

You can just set V=7.2.9 and use

MASTER_SITES= https://dl.bintray.com/otfried/generic/ipe/${V:R}/



Done.


Please set MODLUA_SA=Yes.



Done.


You have some bogus ${LUA_DEP_VERSION} in the PLIST. If you fix them up
you should be able to stop it readding them with

UPDATE_PLIST_ARGS += -i LUA_DEP_VERSION



Just added UPDATE_PLIST_ARGS and the bogus ${MODLUA_DEP_VERSION} (there 
was only one) has gone.


While there:

- yet another tabs tweak;
- added IPEDOCDIR to MAKE_FLAGS (it was already in FAKE_FLAGS), 
otherwise the "Ipe manual" item in the help menu doesn't work.


Updated diff attached.

--
Alessandro DE LAURENZIS
[mailto:jus...@atlantide.t28.net]
Web: http://www.atlantide.t28.net
LinkedIn: https://www.linkedin.com/in/delaurenzis/
Index: Makefile
===
RCS file: /cvs/ports/graphics/ipe/Makefile,v
retrieving revision 1.16
diff -u -p -u -p -r1.16 Makefile
--- Makefile	24 Oct 2018 14:28:05 -	1.16
+++ Makefile	16 Feb 2019 19:22:23 -
@@ -1,68 +1,75 @@
 # $OpenBSD: Makefile,v 1.16 2018/10/24 14:28:05 sthen Exp $
 
-COMMENT =		extensible drawing editor
+COMMENT =	extensible drawing editor
 
-V =			7.1.2
-SUBST_VARS +=		V
-DISTNAME =		ipe-${V}-src
-PKGNAME =		ipe-${V}
-REVISION =		9
+V =		7.2.9
+SUBST_VARS +=	V
+DISTNAME =	ipe-${V}-src
+PKGNAME =	ipe-${V}
 
 # their libraries would be installed as libxxx.so.${V}, overide this.
 SHLIB_VERSION =	0.0
 
-SHARED_LIBS +=		ipe		${SHLIB_VERSION}
-SHARED_LIBS +=		ipecairo	${SHLIB_VERSION}
-SHARED_LIBS +=		ipecanvas	${SHLIB_VERSION}
-SHARED_LIBS +=		ipelua		${SHLIB_VERSION}
-SHARED_LIBS +=		ipeui		${SHLIB_VERSION}
-
-CATEGORIES =		graphics math
-HOMEPAGE =		http://ipe7.sourceforge.net/
-MAINTAINER =		Edd Barrett 
+SHARED_LIBS +=	ipe		${SHLIB_VERSION}
+SHARED_LIBS +=	ipecairo	${SHLIB_VERSION}
+SHARED_LIBS +=	ipecanvas	${SHLIB_VERSION}
+SHARED_LIBS +=	ipelua		${SHLIB_VERSION}
+SHARED_LIBS +=	ipeui		${SHLIB_VERSION}
+
+CATEGORIES =	graphics math
+HOMEPAGE =	http://ipe.otfried.org/
+MAINTAINER =	Alessandro De Laurenzis 
 
 # GPLv3+
 PERMIT_PACKAGE_CDROM =	Yes
 
-WANTLIB += GL QtGui X11 Xrender c cairo expat fontconfig freetype
-WANTLIB += glib-2.0 gobject-2.0 ${MODLUA_WANTLIB} iconv intl m pixman-1 png
-WANTLIB += pthread ${COMPILER_LIBCXX} xcb xcb-render xcb-shm
-WANTLIB += z
+WANTLIB +=	${COMPILER_LIBCXX} ${MODLUA_WANTLIB} Qt5Core Qt5Gui
+WANTLIB +=	Qt5Widgets c cairo freetype iconv jpeg m png16 pthread z
 
-COMPILER =		base-clang ports-gcc base-gcc
+COMPILER =	base-clang ports-gcc base-gcc
 
-MASTER_SITES =		${MASTER_SITE_SOURCEFORGE:=ipe7/}
+MASTER_SITES =	https://dl.bintray.com/otfried/generic/ipe/${V:R}/
 
 # Dependencies
-MODULES =		lang/lua \
-			x11/qt4
-LIB_DEPENDS =		${MODLUA_LIB_DEPENDS} \
-			${MODQT4_LIB_DEPENDS}
-RUN_DEPENDS =		print/texlive/base \
-			x11/xvkbd
-
-MAKE_FLAGS +=		CXX="${CXX}" \
-			IPEPREFIX=${PREFIX} \
-			IPE_USE_ICONV=-DIPE_USE_ICONV \
-			ICONV_CFLAGS=-I${LOCALBASE}/include \
-			ICONV_LIBS="-L${LOCALBASE}/lib -liconv" \
-			SHLIB_VERSION=${SHLIB_VERSION}
-MAKE_ENV +=		PKG_CONFIG_PATH=${LOCALBASE}/lib/qt4/pkgconfig
-FAKE_FLAGS +=		INSTALL_ROOT=${WRKINST} \
-			SHLIB_VERSION=${SHLIB_VERSION} \
-			IPEMANDIR=${PREFIX}/man/man1 \
-			IPEDOCDIR=${PREFIX}/share/doc/ipe
-
-USE_GMAKE =		Yes
-NO_TEST =		Yes
-
-WRKDIST = ${WRKDIR}/${PKGNAME}
-WRKSRC = ${WRKDIST}/src
-
-post-install:
-	sed -i "s,/usr/share/,${LOCALBASE}/share/," \
-		${WRKDIST}/fontmaps/texlive-fontmap.xml
-	${INSTALL_DATA} -c ${WRKDIST}/fontmaps/texlive-fontmap.xml \
-		${PREFIX}/share/ipe/7.1.2/fontmap.xml
+MODULES =	lang/lua \
+		x11/qt5
+
+MODLUA_VERSION =	5.3
+MODLUA_SA =		Yes
+
+LIB_DEPENDS =	${MODLUA_LIB_DEPENDS} \
+		${MODQT5_LIB_DEPENDS}
+
+RUN_DEPENDS =	print/texlive/base \
+		x11/xvkbd
+
+USE_GMAKE =	Yes
+
+MAKE_FLAGS +=	CXX="${CXX}" \
+		IPEPREFIX=${PREFIX} \
+		IPE_USE_ICONV=-DIPE_USE_ICONV \
+		ICONV_CFLAGS=-I${LOCALBASE}/include \
+		ICONV_LIBS="-L${LOCALBASE}/lib -liconv" \
+		SHLIB_VERSION=${SHLIB_VERSION} \
+		JPEG_CFLAGS=-I${LOCALBASE}/include \
+		IPEDOCDIR=${PREFIX}/share/doc/ipe \
+		DL_LIBS=""
+
+MAKE_ENV +=	PKG_CONFIG_PATH=${LOCALBASE}/lib/qt5/pkgconfig
+
+FAKE_FLAGS +=	INSTALL_ROOT=${WRKINST} \
+		SHLIB_VERSION=${SHLIB_VERSION} \
+		IPEMANDIR=${PREFIX}/man/man1 \
+		IPEDOCDIR=${PREFIX}/share/doc/ipe
+
+UPDATE_PLIST_ARGS +=	-i MODLUA_DEP_VERSION
+
+NO_TEST =	Yes
+
+WRKDIST =	${WRKDIR}/${PKGNAME}
+WRKSRC =	${WRKDIST}/src
+
+pre-build:
+	${SUBST_CMD} ${WRKSRC}/config.mak
 
 .include 
Index: distinfo
===
RCS file: /cvs/ports/graphics/ipe/distinfo,v
retrieving revision 1.2
diff -u -p -u -p -r1.2 distinfo
--- distinfo	18 Jan 2015 03:14:10 -	1.2
+++ distinfo	16 Feb 2019 19:22:23 -
@@ -1,2 +1,2 @@
-SHA256 (ipe-7.1.2-src.tar.gz) = M5DeZ+4ist50rPqy6fspvP1v4YBogIb+u3WNyKgu2hE=
-SIZE (ipe-7.1.

  1   2   >