[Ghdl-discuss] GHDL built with gcc4.8.2

2013-11-26 Thread Brian Drummond
I have managed to get GHDL to build with gcc4.8.2 as both the compiler and the sources. Instructions (README), build script and patch attached. Testing so far shows no problems, including the simple OSVVM demo. Apologies for formatting of previous message. I had no idea Evolution would simply

[Ghdl-discuss] GHDL possible bug: 1-cell array initialization

2013-11-26 Thread Adrien
Hello, I am developing an HLS synthesis tool that outputs VHDL. In this context, I use a little testbench generator to test the generated circuits. For one of my applications, GHDL fails to compile the testbench an I believe this is an error. It is about this kind of declaration: constant

[Ghdl-discuss] Re : GHDL possible bug: 1-cell array initialization

2013-11-26 Thread Christophe
Hello, This looks like the classical VHDL case where you should use explicit index for the vector, otherwise the tool (GHDL in this case, but true for every tools) can't be sure how to interpret the value, and thus complains. constant out_vectors : outvec_type := ( 0 = X ); Works on

Re: [Ghdl-discuss] GHDL possible bug: 1-cell array initialization

2013-11-26 Thread whygee
Thanks for the analysis ! Le 2013-11-27 03:46, David Koontz a écrit : To paraphrase - 'VHDL isn't pretty.' but it is usually right ;-) YG ___ Ghdl-discuss mailing list Ghdl-discuss@gna.org https://mail.gna.org/listinfo/ghdl-discuss

Re: [Ghdl-discuss] GHDL built with gcc4.8.2

2013-11-26 Thread David Koontz
On 27 Nov 2013, at 4:46 am, Brian Drummond br...@shapes.demon.co.uk wrote: I have managed to get GHDL to build with gcc4.8.2 as both the compiler and the sources. Instructions (README), build script and patch attached. Testing so far shows no problems, including the simple OSVVM demo.

Re: [Ghdl-discuss] GHDL built with gcc4.8.2

2013-11-26 Thread Brian Drummond
On Wed, 2013-11-27 at 15:54 +1300, David Koontz wrote: On 27 Nov 2013, at 4:46 am, Brian Drummond br...@shapes.demon.co.uk wrote: I have managed to get GHDL to build with gcc4.8.2 as both the compiler and the sources. Instructions (README), build script and patch attached.